汽车尾灯控制电路设计及仿真

合集下载

《汽车尾灯控制电路设计与仿真》毕业设计开题报告

《汽车尾灯控制电路设计与仿真》毕业设计开题报告

《汽车尾灯控制电路设计与仿真》毕业设计开题报告
学生姓名曾XX专业班级汽电1201
课题名称汽车尾灯的控制电路设计与仿真指导教师唐XX
本课题要解决的主要问题,解决途径和主要方法:
1、根据任务书选题调研,做出开题报告
2、查找设计原理
3、做初步方案
4、确定初步方案
5、修改方案
6论文定稿,答辩
毕业设计进度计划:
1、根据任务书选题调研,做出开题报告
2、查找设计原理
3、做初步方案
4、确定初步方案
5、修改方案
6完成论文初稿
7论文定稿,答辩
指导教师意见
年月日教研室意见
年月日
湖南机电职业技术学院毕业设计指导教师评价表论文题目汽车尾灯控制电路设计与仿真
专业汽车电子技术班级汽电1201学生
姓名曾祥云
检查
次数评分标准分值得分总分
开题
检查设计文献、资料、工具等准备完成及时50
完成开题报告完成及时审查合格50
第二次
检查按时作息,设计认真主动20
设计进度基本符合预定计划20
总体设计方案基本可行,20
完成草图绘制和方案框架文本40
第三次
检查基本完成除说明书外的毕业设计任务40
设计方案正确、资料规范,作品基本完成20
40
第四次
检查完成所有毕业设计任务40
毕业设计方案正确、资料完整、修改及时。

40
毕业设计文档按照规范要求完成定稿,装订、打印符合规范要求20
合计(第一次×20%+第二次×20%+第三次×30%+第四次×30%)
教师评语
注:表中考核标准仅供参考,各专业可根据自己的特点设定具体考核标准。

汽车尾灯控制电路

汽车尾灯控制电路

汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路

基于Proteus的汽车尾灯控制电路的设计与仿真

基于Proteus的汽车尾灯控制电路的设计与仿真
・ ・ … ・
5结 语
虽然获得了发射机一体化控制的成功 , 得到 了无人值班 的 自动 化控制方式 的结构基础 , 但 缺点也是很明显的 : 一是 中心化集 中控 制, 还没彻底运用上 ; 二则 其电源供 给部分存在纰漏很容易受温度 影响 , 特别是高温 隋况下 , 容易烧坏供 给电源 ; 三是一些远程检测部 分受发射机干扰 比较严重 , 影响数据 的实 时传输 。 虽然技术上还存 在或多或少 的纰漏 , 只有在实践 中不 断完善 , 才会 更好地 改善到短 波发射机去 。 下一 步的重点改进 部分 就放 在远程控 制这 一块 , 这对 未来无人值班的发射机体系来说 , 具有深远的意义 。 参考文献
[ 1 ] 黄 晓兵. T H B 一 5 2 2 型1 5 0 K W短波发射机维护手册[ A ] . 北京- 中国书
籍 出版 社。 2 0 1 1 , 1 4 8 — 1 5 2 . [ 2 ] T B H - 5 2 2 短波发射机说 明书[ A ] 北京: 北 京广播器材厂 出版, 2 0 0 1 .
上接 第5 页
再 次, 汽车在紧急刹车时 , 汽车尾部所有的指示灯应该同时ห้องสมุดไป่ตู้ 于 点 亮 的状 态 。
2任 务分 析
为了便于 电路设计 , 根据数字 电路的总体设计方案和本次设计 的要求 , 首先应该对设计要求进行逻辑抽象 , 具体过程如下 : 在 整个 汽车 尾灯的控制 电路 中, 要对指示灯 的状态进行控制 , 需要确保 有三个开关对其进行控制 , 其 中包含两个转 向控制开关和 个模拟刹车制动开关。 在 电路设计 中, 首先 , 分别用K1 、 K2 和K3 三 个变量对 汽车左转 、 右转和刹车这三个开关进行相应 的控制 ; 其次 , 分别将 1 和o f f 为三 个变量 的取值 , 用以代表 电路开 关的两 种状态 , 即闭合与断开 } 第三 , 用变量D L 1 , DL 2 、 D L 3 与D R1 D R2 、 D R3 分别 代 表 左 转 向灯 和 右 转 向灯 , 同样 将 取 值 确 定 为 1 N0 , 用 以表 示 尾 灯 的点亮和熄灭状态 , 第 四, 在汽车分别 向左 、 向右 转弯 时, 汽车尾部 的三个指示灯应该被循环点亮 , 因此 , 可以采用三进 制计数器来对 电路的输 出顺序进行控制 , 保证汽车尾灯的点亮顺序 。 根据 以上分析 , 得到汽车尾灯 控制 电路 的逻辑功 能表如表 1 所 示( 表 中 X表示0 、 l 的状 态可 以任意取值) 。 4结果仿真 根据任务分析 和逻辑功能表 , 确定汽车尾灯控 制电路的整体设 点击P r o t u e s 7 . 8 软件中的运行仿 真控制按钮 , 再通过三个开 关 计方案 , 整个 汽车尾灯控制 电路 由六部分组成 , 如 图1 所示 。 K1 、 K2 和K 3 的通断来控 制 电路 , 即可观察到 我们所 需要的仿真效 3电路设计 果, 如 图3 所示 , 依次为 汽车紧急刹车 、 直行 、 向左 转和 向右转 的效 根据图1 所示 电路的结构框 图设计以下 电路模 块 : 开关控制模 果 。 块、 振荡 电路模 块、 三进制 计数器模块和译码 显示模块 , 最终运用 参考文献 P r o t u e s 7 . 8 a件设计 出汽车尾灯控制 电路如 图2 所示。 [ 1 ] 阎石. 数字 电子技术 基础( 第五版) [ M ] . 北京: 高等教育 出版社, 根据表1 所示 电路逻辑功能, 转 向控制开关K1 和K 2 构成异或逻 2 0 0 6 . 5 . 辑关系 , 输出与译码器 高电平使能端G l 相连 , 控制译码器 的工作状 [ 2 ] 刘勇, 栾秋平. 数字电路( 第 4版) [ H ] . 北京: 电子工业出版社。 2 0 1 2 . 态。 紧急刹车开关K3 直接 与显示驱动 电路相连 , 当紧急刹 车开 关闭 2. 合,  ̄ P K3 =I 时, 六个发光二极管 阴极接入低电平 , 则六个发光二极管 [ 3 3 许维蓥, 郑荣焕. P r o t e u s电子电路设 计及仿 真( 第2 版) [ M ] . 北京:

基于Proteus的汽车尾灯控制电路的设计与仿真

基于Proteus的汽车尾灯控制电路的设计与仿真

目录一论文正文引言 (1)1 设计背景与意义 (1)1.1设计背景 (1)1.1.1 Proteus简介 (1)1.1.2 集成化的电路虚拟仿真软件— Proteus (2)1.1.3 Proteus VSM仿真与分析 (2)1.2设计意义 (4)2 设计要求与思路 (4)2.1 设计目的与要求 (4)2.2设计思路与构想 (5)2.2.1汽车尾灯显示状态与汽车运行状态的关系 (5)2.2.2汽车尾灯控制器功能描述 (5)3 单元电路设计 (7)3.1时钟信号源电路的设计 (7)3.2 开关控制电路的设计 (8)3.3 三进制计数器电路的设计 (9)3.4 译码与显示驱动电路的设计 (11)3.5 尾灯状态显示电路的设计 (13)4 电路仿真与分析 (14)4.1 电路仿真总电路图 (14)4.2 汽车尾灯控制器电路的工作原理 (14)4.3 参数计算与器件选择 (15)4.4 电路仿真过程及仿真结果 (16)5 电路安装与调试 (19)5.1 电路安装过程 (19)5.2 电路的调试 (19)6 元器件清单 (20)7 总结 (21)参考文献 (22)谢辞 (23)二附录1论文(设计)任务书 (24)2论文(设计)结题报告 (26)3论文(设计)成绩评定及答辩评议表 .................................................. 错误!未定义书签。

4论文(设计)答辩过程记录(附页) .................................................. 错误!未定义书签。

基于Proteus的汽车尾灯控制电路的设计与仿真摘要:Proteus是一种功能强大的电子电路仿真软件,该软件提供了庞大的元件数据库和种类齐全的虚拟测试仪器表,可以方便的进行原理电路设计和电路功能测试。

本论文主要运用数字电路和模拟电路基础知识,借助Proteus软件设计汽车尾灯控制电路。

multisim仿真汽车尾灯控制电路

multisim仿真汽车尾灯控制电路

科研实习课程论文学院:理学院专业:应用物理2010-01学号: 201001090120姓名:孙中剑指导老师:周明东2013/7/4基于Multisim11.0的汽车尾灯控制电路设计与仿真摘 要:Multisim10是一款优秀的电子电路辅助分析与设计软件。

运用Multisim10软件设计了汽车尾灯控制电路, 整个系统由开关控制电路、振荡电路、三进制计数器、译码器和显示驱动电路五个部分组成。

电路结构简单、布线清晰、易于实现。

计算机仿真结果表明该电路实现了汽车尾灯控制。

基于Multisim10的电路设计与仿真方法与传统的设计方法相比, 具有省时、低成本、高效率的优越性。

关键词: Multisim10; 汽车尾灯; 电路设计; 仿真Design and Simulation on Control Circuit of AutomobileTaillights Based on Multisim11.0SUN ZhongjianAbstract:Multisim11.0 is an excellent software for aided analysis and design of electronic circuit A control circuit of automobile taillights was designed based on Multisim11.0 simulation software. This circuit system was composed of five parts: switch control circuit, oscillator circuit, ternary counter, decoder and display driving circuit .The designed simple circuit structure, clear wiring and was easy to implement. The computer simulation result showed that the design implemented control of automobile taillights. Compared with the traditional design method, this design and simulation based on Multisim11.0 had many advantages, such as time saving, low in cost and efficient.Key Words: Multisim11.0; automobile taillights; circuit design; simulation1 引言Multisim11.0是美国国家仪器( National Instruments, NI)公司并购加拿大图像交互技术( Interactive Image Technologies, IIT)公司后, 于2007年推出的基于Windows系统的电子电路仿真软件Multisim的最新版本。

汽车尾灯控制器的电路设计仿真与制作

汽车尾灯控制器的电路设计仿真与制作

课程设计任务书学生姓名: 专业班级: 电信 指导教师: 工作单位: 信息工程学院 题 目: 汽车尾灯控制器的电路设计仿真与制作 初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。

电路组成框图如图1所示。

(也可以使用单片机系统设计)要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周内完成对汽车尾灯控制器的设计、仿真、装配与调试。

2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:汽车正常行驶时,尾灯全部熄灭。

当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

临时刹车时,所有指示灯同时闪烁。

选择电路方案,完成对确定方案电路的设计。

计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。

制作实际运行装置。

3、查阅至少5篇参考文献。

按《武汉理工大学课程设计工作规范》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规范。

时间安排:1、 2009 年 6 月 20~22 日,查阅相关资料,学习设计原理。

2、 2009 年 6 月 23~24 日, 方案选择和电路设计仿真。

3、 2009 年 6 月 25~27 日, 电路调试和设计说明书撰写。

4、 2009 年 6 月 28 日上交课程设计成果及报告,同时进行答辩。

指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日图1汽车尾灯控制电路框图目录1 设计要求 (1)2 Proteus简介 (2)3 汽车尾灯控制器电路总体方案设计及实现 (3)3.1 方案构思及设计 (3)3.1.1 汽车尾灯显示与汽车运行状态关系 (3)3.1.2 汽车尾灯控制电路功能描述 (3)3.1.3 总体原理框图 (3)3.2方案选择及单元电路设计 (4)3.2.1秒脉冲电路 (4)3.2.2开关控制电路 (5)3.2.3 三进制计数器电路 (6)3.2.4 译码与显示驱动电路 (7)3.3电路总图及工作原理 (8)3.3.1 总电路图 (8)3.3.2 工作原理 (9)3.4元器件参数计算 (9)4 电路分析及仿真结果 (11)4.1汽车左转弯仿真结果 (11)4.2汽车右转弯仿真结果 (11)4.3汽车临时刹车仿真结果 (11)4.4汽车正常运行仿真结果 (12)5 元器件清单 (13)6 心得体会 (14)7 参考文献 (16)1 设计要求设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:a) 行驶时,尾灯全部熄灭。

实验二 模拟汽车尾灯实验仿真

实验二 模拟汽车尾灯实验仿真

实验二模拟汽车尾灯实验仿真
一、实验目的
1、灵活运用数字逻辑电路的理论解决问题。

2、提高学习兴趣。

二、实验设备及器件
1、SAC-DS1数字逻辑电路实验箱1个
2、万用表1块
3、器件自选
三、设计要求
设汽车左右各三个尾灯,利用两个开关模拟汽车左右拐弯,当两个开关为11时,汽车后面6个尾灯亮;当两开关为01时,汽车左拐,左边三个尾灯依次从右往左循环亮;而当两开关为10时,表示汽车右拐,则右边三个尾灯依次从左往右循环亮(开关为00状态未用) 。

表2.1为其运行状态关系表。

原理线路如图2-1所示。

表2.1 尾灯和汽车运行状态关系表
图2-1模拟汽车尾灯电路系统原理图
1、模拟汽车尾灯电路系统原理图。

2、选器件,画实验连线图(学生来完成)。

3、组装调试(学生来完成)。

四、实验报告要求
1、根据给出的设计要求设计系统原理图,列出元件清单。

2、列出实验步骤。

3、先用EWB做仿真实验(参考图2-2),后用实物独立组装、调试汽车尾灯电路,调试过程中遇到的问题,找出原因及解决方法。

4、总结本次实验的收获和体会。

5、交出完整实验报告。

图2-2模拟汽车尾灯仿真实验参考图
图2-3汽车尾灯控制电路参考图。

汽车尾灯控制电路设计及仿真报告

汽车尾灯控制电路设计及仿真报告

汽车尾灯控制电路设计及仿真报告小组成员:题目要求:3.55 小汽车左、右两侧各有3只尾灯,当汽车左转弯时,左侧的尾灯按下表所示方式周期性亮灭;右转弯时,右侧尾灯也按此规律变化;当汽车制动停车时,6只尾灯同时亮;若在转弯前制动,则表示转弯的尾灯正常动作,另一侧的尾灯全亮。

试设计上述功能的小汽车尾灯控制电路。

Present State Next StateA B C A B C1 1 1 0 1 00 1 0 1 0 11 0 1 0 0 00 0 0 1 1 1*1表示灯亮,0表示灯灭。

功能分析:(Ⅰ) 根据题目要求,设计电路的输入端有三个,为TL、TR、ST, 分别代表左转弯、右转弯及制动(约定三个输入为1时,代表发出上述信号)。

输出端有六个,A L、B L、C L代表左侧3个尾灯,A R、B R、C R代表右侧3个尾灯(约定1表示灯亮,0表示灯灭),经观察发现,两侧A、C尾灯在任何状态下亮灭状态同步,所以这两个灯可以用一个输出信号控制。

(Ⅱ) 车尾灯的状态可以根据输入信号分为两类。

一是转向信号和制动信号只有其中之一作用(由实际情况可知,左转弯,右转弯信号不可同时发出,即TL、TR、ST三者只有一个为1,其余为0),此类型对应的实际情况包含三种,分别为左转弯,右转弯和刹车制动。

当只发出一侧的转弯信号时,该侧车尾灯呈现如题目要求的循环亮灭;当只发出制动信号时,两侧车尾灯全部点亮。

二是转向信号和制动信号两者同时起作用,此类型对应的实际情况包含两种,分别为直行和转弯(前)制动。

当转向信号和制动信号都没有发出时,即TL、TR、ST均为0时,所有尾灯均熄灭。

当转向信号其一和制动信号同时发出时,发出转向信号的一侧车尾灯呈现如题目要求的循环亮灭,另一侧车尾灯全部点亮。

关于转弯前制动,题目中并没有明确说明此时的输入信号是怎样的情况,经小组讨论,我们一致决定,转弯前制动代表转向信号其一和制动信号同时发出。

根据上述功能分析,设计电路的功能表如下表所示。

汽车尾灯控制电路的设计与仿真

汽车尾灯控制电路的设计与仿真
Multisim10是一款知名的EDA仿真软件,由加拿大IIT、公司于2007年推出最新版本.在Windows环境下,Multisim10软件有一个完整的集成化设计环境,它将原理图的创建、电路的测试分析、结的图表显示等全部集成到同一个电路窗口中.在搭建实际电路之前,采用Multisim10仿真软件进行虚拟测试,可使实验方法和实验手段现代化,扩展实验容量,使实验内容更完备,提高了实验效率,节省大量的实验资源.Multisim10软件进行设计仿真分析的基本步骤为:设计创建仿真电路原理图→电路图选项的设置→使用仿真仪器→设定仿真分析方法→启动Multisim10仿真.
题 目汽车尾灯控制电路的设计与仿真
系 (部)信息工程系
一、设计题目、内容及要求
设计题目:汽车尾灯控制电路的设计与仿真
设计内容:
汽车尾灯两侧各有3个指示灯,汽车运行时具有如下模式:
⑴汽车正向行使时,左右两侧的指示灯全部处于熄灭状态.
⑵汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮.
⑶汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮.
振荡周期与频率的计算公式为:T=(R1+2R2)Cln2=0.7(R1+2R2)C,电源电压为Vcc=5V,其中电路图中C1的作用是防止电磁干扰对振荡电路的影响,一般选用0.01F的瓷片电容.再次课程设计中要求输出T=1S,选取电容为C=10μF,R1=560kΩ,根据振荡周期计算,选择电阻R2=434kΩ.由于存在系统误差,经调试取R2=432kΩ.
1.2设计背景
随着世界范围内城市化和机动化进程的加快,城市交通越来越成为一个全球化的问题.城市交通基础设施供给滞后于高速机动化增长需求,道路堵塞日趋加重,交通事故频繁,环境污染加剧等问题普遍存在.目前,全国大中城市普遍存在着道路拥挤、车辆堵塞、交通秩序混乱的现象,交通事故频发,这给人民的生命财产安全带来了极大的损失.如何解决城市交通问题已成为全社会关注的焦点和大众的迫切呼声.探究城市交通发展中存在问题的原因,无论是从宏观上还是从微观上分析,其根本原因在于城市交通系统的管理机制不适应.

汽车尾灯控制电路 VHDL

汽车尾灯控制电路 VHDL

可编程逻辑器件设计大作业题目汽车尾灯控制电路学院班级姓名学号2013年12月20日题目:汽车尾灯控制电路一、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1)汽车正常运行时指示灯全灭。

2)右转弯时,右侧3个指示灯按右循印顺序点亮。

3)左转弯时,左侧3个指示灯按左循别顺序点亮。

4)临时刹车时,所有指示灯随着CP时钟脉冲同步闪烁。

二、总体设计:1、总体结构图:2、顶层原理图:三、单元模块设计1、各模块功能汽车尾灯主控模块工作框图如上图左边灯控制模块的工作框图如上图右边灯控制模块的工作框图如上图2、VHDL源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use ieee.STD_LOGIC_UNSIGNED.ALL;ENTITY weideng IS --实体port(clk,tright,tleft:IN STD_LOGIC; --端口说明rightdeng:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);leftdeng:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END ENTITY weideng;ARCHITECTURE qiche OF weideng IS --结构体部分SIGNAL leftd:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL rightd:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINcontrol:PROCESS(clk,tleft,tright)BEGINIF clk'EVENT AND clk='1'THENIF rightd="01"THEN --右信号rightd<="11";ELSE rightd<=(rightd-1);END IF;IF leftd="01"THEN --左信号leftd<="11";ELSE leftd<=(leftd-1);END IF;END IF;END PROCESS control;proout:PROCESS(clk,tright,tleft,rightd,leftd)BEGINIF clk'EVENT AND clk='1'THENIF tright='1'THEN --右信号有效时leftdeng<="000";CASE rightd IS --右边灯的亮灭状态WHEN"01"=>rightdeng<="001";WHEN"10"=>rightdeng<="010";WHEN"11"=>rightdeng<="100";WHEN OTHERS=>rightdeng<="000";END CASE;ELSIF tright='0'THEN --右信号无效rightdeng<="000"; --右边3个灯全灭END IF;IF tleft='1'THEN --左信号有效rightdeng<="000";CASE leftd IS --左边灯的亮灭状态WHEN"01"=>leftdeng<="100";WHEN"10"=> leftdeng<="010";WHEN"11"=> leftdeng<="001";WHEN OTHERS=> leftdeng<="000";END CASE;ELSIF tleft='0'THEN --左信号无效leftdeng<="000"; --左边3个灯全灭END IF;END IF;IF tleft='1'AND tright='1' THEN --左右信号都有效时6个灯全亮leftdeng<="111";rightdeng<="111";ELSIF tright='0'AND tleft='0'THEN --左右信号都无效时6个灯全灭leftdeng<="000";rightdeng<="000";END IF;END PROCESS proout;END qiche;3、用Quartus Ⅱ软件进行调试:首先是在桌面打开QuartusⅡ软件后选择File>>New Project Wizard进入新建工程对话框>>单击Next进入新建工程路径、名称、顶层实体指定对话框(选择好保存路径、输入工程名为weideng),由于只是进行功能和时序仿真,所以直接单击Finish即可。

汽车尾灯控制电路设计与总结报告

汽车尾灯控制电路设计与总结报告

汽车尾灯控制电路设计与总结报告一.设计要求设计一个汽车尾灯控制电路,用6只发光二极管模拟6只汽车尾灯,左右各三只。

用四个开关分别模拟刹车信号K1,停车信号K2,左转弯信号KL,右转弯信号KR。

⑴正常情况下,汽车左(或右)转弯时,该侧的三只尾灯按图9-21-1所示的周期亮、暗,状态转换时间为1s,直至断开该转向开关;⑵无制动时(无刹车,K1=“0”),若司机不慎将两个转向开关接通,则两侧尾灯都作同样的周期变化,示意图同图9-21-1;●●●→○●●→○○●→○○○→●●●(a)右转弯●●●←○○○←●○○←●●○←●●●(b)左转弯●暗○亮⑶在刹车制动时(K1=“1”),所有6只尾灯同时亮;⑷停车时(K2=“1”),6只尾灯均按1Hz频率闪亮,直到K2=“0”为止。

二.总体设计构思设计基于两片74LS194来实现LED的左右移动,采用555芯片电路产生所需的1Hz脉冲。

1.1HZ脉冲发生器电路(1)运用555芯片输出1HZ脉冲的原理:接通电源后,电容C3被充电,Vc上升,当Vc上升到2Vcc/3时,触发器被复位,放电三极管T导通,此时3脚输出低电平,电容通过R2和T使Vc下降。

当Vc 下降到Vcc/3时,触发器被置位,3脚翻转输出高电平。

当C3放电结束时,T截止,Vcc将通过R1,R2向电容C3充电。

当Vc上升到2Vcc/3时,触发器又发生翻转,周而复始就在输出端得到一个周期性的方波。

f=1/(Tpl+Tph)=1.43/[(R1+2R2)C],Tph=0.7*(R1+R2)*C,Tpl=0.7*R2*C,通过计算可得选取R1=40k,R2=51k,C3=10uF则输出为频率为1Hz的脉冲波信号。

2.LED左转右转循环点亮电路设计与选择由两片双向4位移位寄存器(即两片74LS194),一块74HC21,两块4071加外围的LED显示电路实现。

工作原理:通过两个开关控制CR端的高低电平变化,当CR为低电平时,实现清除功能,使输出全为0,寄存器正常工作时CR为高电平。

电子技术课程设计汽车尾灯控制电路

电子技术课程设计汽车尾灯控制电路

电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。

(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。

当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。

当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。

总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。

多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。

当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。

左右边控制模块是控制它的输出,使它们的输出依次进行。

灯是起到亮灭的作用。

三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。

RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。

汽车尾灯控制电路设计论文(C语言、带仿真)【范本模板】

汽车尾灯控制电路设计论文(C语言、带仿真)【范本模板】

目录绪论 (1)1 设计系统的介绍及研究内容 (1)1.1 设计系统的介绍 (1)1。

1。

1 设计语言 C语言的介绍 (1)1.1。

2 设计软件Proteus的介绍 (1)1.1.3 设计依据 (2)1。

2 研究的内容 (2)2 设计方案分析及比较 (3)3 设计方案规划及设计 (4)3。

1 系统设计框架图 (4)3。

1。

1 设计任务 (4)3。

1.2 总体设计思想 (5)3。

2 整体电路工作原理 (5)3.2.1 单元电路设计 (5)3。

2。

2 其他部分的设计 (6)3。

3 单片机 (7)3.4 程序流程图 (8)3.5 开始程序 (9)4 电路的制作与调试 (13)4。

1 电路 (13)4。

2 仿真 (13)4.2。

1 使用的仿真软件 (13)4.2.2 在仿真软件中画的完整电路图 (13)4.3 调试 (15)4。

3.1 软件上仿真 (15)4.3。

2 硬件调试 (18)4.3.3 设计中遇到的问题及不足 (19)5 主要元器件清单 (20)结论 (21)参考文献 (22)致谢 (23)汽车尾灯控制电路摘要本课题介绍了一种新型简便的基于单片机的汽车尾灯控制电路的设计方法,本设计主要解决如何更加灵活的汽车尾灯控制器进行控制,左转,右转和急刹车信息等。

通过设计汽车尾灯显示控制电路,能很好的综合运用我们所学到的单片机,C语言,模拟电路知识,熟悉电子电路设计的基本方法。

有多种方法来设计汽车尾灯控制电路,主要是运用单片机的相关知识用硬件来设计制作一个16位汽车尾灯显示控制电路的。

它的特点是电路简单,制作方便,容易操作,可反复擦写,性能可靠。

本设计主要由四部分组成,包括按键电路,LED灯电路,驱动电路,复位电路。

本文介绍了一种以STC89C52单片机为核心的控制电路。

该电路以发光二极管LED灯为显示电路。

汽车的尾灯是其运行方式的最直接表示方式,令行人或其他车辆清晰明白它将要发生的动态变化。

从而避免交通事故的发生。

汽车尾灯模拟控制电路设计

汽车尾灯模拟控制电路设计

汽车尾灯模拟控制电路设计
随着汽车数量的剧增,交通事故也逐步增加,而在交通事故中,大多数
都是由于汽车车灯故障引起的。

本文设计的汽车尾灯控制电路,使车辆在进行
转弯或刹车时能及时对其他车辆发出信号进行预警,可有效减少交通事故的发生。

1 电路设计要求用发光二极管模拟汽车尾部左右两侧各有3 个指示灯。

(1)汽车正常运行时指示灯全灭。

(2)右转弯时,右侧3 个指示灯按右循环顺序点亮。

(3)左转弯时,左侧3 个指示灯按左侧环顺序点亮。

(4)临时刹车时所有的指示灯
同时闪烁。

2 电路设计分析根据设计要求可知,汽车尾灯运行状态有正常运行、左转弯、右转弯、临时刹车4 种,需要用两个逻辑开关组合构成开关控制
端(设为S1、S0),尾灯从右至左依次为D1、D2、D3、D4、D5、D6(前3 个为
右尾灯,后3 个为左尾灯),列出汽车尾灯运行状态关系表,如表1 所示。

设计要求两边3 个指示灯依次点亮,每一个灯的点亮可通过三进制计数器
输出相应计数高电平来实现,因而由上述状态转换表可得汽车尾灯控制逻辑功
能表。

该设计电路图可分为3 部分:LED 灯控制部分、开关控制部分和CP 脉冲产
生电路。

tips:感谢大家的阅读,本文由我司收集整编。

仅供参阅!。

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。

该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。

汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。

控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。

变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。

电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。

尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。

首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。

其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。

最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。

总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。

其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。

《汽车尾灯控制电路设计与仿真》毕业设计开题报告_毕业设计_

《汽车尾灯控制电路设计与仿真》毕业设计开题报告_毕业设计_

《汽车尾灯控制电路设计与仿真》毕业设计开题报告
学生姓名曾专业班级汽电1201
课题名称汽车尾灯的控制电路设计与仿真指导教师唐本课题要解决的主要问题,解决途径和主要方法:
1、根据任务书选题调研,做出
2、查找设计原理
3、做初步方案
4、确定初步方案
5、修改方案
6论文定稿,答辩
毕业设计进度计划:
1、根据任务书选题调研,做出开题报告
2、查找设计原理
3、做初步方案
4、确定初步方案
5、修改方案
6完成论文初稿
7论文定稿,答辩
指导教师意见
年月日教研室意见
年月日
湖南机电职业技术学院毕业设计指导教师评价表
论文题目汽车尾灯控制电路设计与仿真
专业汽车电子技术班级汽电1201 学生
姓名曾祥云
检查
次数评分标准分值得分总分
开题
检查设计文献、资料、工具等准备完成及时 50
完成开题报告完成及时审查合格 50
第二次
检查按时作息,设计认真主动 20
设计进度基本符合预定计划 20
总体设计方案基本可行, 20
完成草图绘制和方案框架文本 40
第三次
检查基本完成除外的毕业设计任务 40
设计方案正确、资料规范,作品基本完成 20
40
第四次
检查完成所有毕业设计任务 40
毕业设计方案正确、资料完整、修改及时。

40
毕业设计文档按照规范要求完成定稿,装订、打印符合规范要求 20
合计(第一次×20%+第二次×20%+第三次×30%+第四次×30%)
教师
注:表中考核标准仅供参考,各专业可根据自己的特点设定具体考核标准。

汽车尾灯控制电路仿真设计

汽车尾灯控制电路仿真设计

湖北大学知行学院本科毕业论文(设计)题目基于D触发器的汽车尾灯控制电路仿真设计计算机与信息工程系电子信息工程专业学号**********学生姓名李军指导教师李欣起讫日期2011年10月30日~2012年4月12日工作地点湖北大学知行学院绪论 (1)1 设计任务与要求 (2)2 总体设计基本原理 (3)2.1 汽车尾灯显示状态与汽车行驶状态的关系 (3)2.2 系统框图 (4)3 单元电路设计 (5)3.1 D触发器电路 (5)3.1.1 D触发器的内部结构及原理 (5)3.2 尾灯控制电路 (6)3.2.1 尾灯控制电路的原理分析 (6)3.2.2 尾灯控制电路的实现 (7)3.3 刹车电路的显示原理及实现 (8)3.4 CP脉冲产生电路 (8)3.4.1 脉冲电路的电路部分 (9)4 总体电路图 (10)5 电路的仿真与调试 (11)5.1 产生脉冲2Hz电路的仿真 (11)5.2 D触发器电路仿真 (11)5.3 总体电路的仿真 (12)5.4 仿真分析 (13)6 总结与体会 (14)参考文献 (15)附件:元件清单 (15)随着经济的发展,越来越多的人拥有汽车,由此当汽车突然转向时由于尾灯的错误显示所引发的车祸经常出现。

本次课题设计的目的是:设计汽车尾灯控制电路,通过尾灯的变化令行人或其他车辆清晰明白汽车将要发生的动态变化,从而避免交通事故的发生。

设计中我根据汽车尾灯显示状态与汽车运行状态的关系,分析并设计电路,整个电路由三个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

尾灯分别由左右各三个指示灯组成,实验中采用发光二极管显示。

本实验采用D触发器实现汽车尾灯控制电路的电路设计。

脉冲源部分由555组成单稳态电路实现。

经过一系列的分析、仿真模拟等准备工作,本次课题设计基本都实现了全部的设计要求。

【关键词】D触发器频率源With economic development, more and more people own a car, and thus when the car suddenly turned the car accident caused due to the tail lights error often appear. The purpose of the design of this subject is: the design of automobile tail light control circuit, so that pedestrians or other vehicles through changes in the taillights clear to understand the dynamic changes of cars will take place, in order to avoid traffic accidents.The design of car tail lights shows the relationship between analysis and design of the state with the vehicle running state circuit, The circuit consists of three switches to control the car normal operation, the taillights when the right turn, left turn and brake. The taillights of the left and right three lights, LED display used in the experiment. In this study, the D flip-flop circuit design of the control circuit of the automobile taillights. Pulse source in part by the 555 monostable circuit. After a series of analysis, simulation, and other preparatory work, the subject of design is basic to achieve all the design requirements.【Key words】D flip-flop frequency source绪论仿真使用的工具是Protues。

基于Proteus的汽车尾灯控制电路的设计与仿真

基于Proteus的汽车尾灯控制电路的设计与仿真

基于Proteus的汽车尾灯控制电路的设计与仿真作者:张成娟来源:《数字技术与应用》2014年第04期摘要:Proteus是一款优秀的电子电路辅助分析与设计软件。

运用Protues7.8软件设计汽车尾灯控制电路,通过发光二极管模拟汽车尾灯来实现汽车在行驶过程中的四种情况:正常行驶、向左转弯、向右转弯和紧急刹车。

整个系统被分成四个部分,分别为译码显示驱动电路、振荡电路、三进制计数器和开关控制电路,并且这四部分电路统一由开关进行控制。

电路结构简单、布线清晰、易于实现,计算机仿真结果表明该电路实现了汽车尾灯的控制功能。

关键词:Proteus 汽车尾灯控制电路电路设计与仿真中图分类号:TN911 文献标识码:A 文章编号:1007-9416(2014)04-0005-02Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。

它不仅具有其它EDA工具软件(例如:Multisim)的仿真功能,还能仿真单片机及外围器件。

它是目前比较好的仿真单片机及外围器件的工具,广泛应用于电子线路的教学与设计中。

设计与仿真实验可以同步进行,对电路进行调试和修改是一项简单的工作,并且在设计和进行试验的阶段,元器件并不会产生实际的消耗。

在仿真试验的基础之上进行电路制作,不仅能够很大程度的节约成本,而且还能够在一定程度上为产品的开发缩短周期,这是当前现代企业进行电子设计的有效途径。

本文利用Protues软件,通过TTL系列逻辑门时序逻辑芯片模拟汽车尾灯工作情况。

通过此设计,主要是想分析汽车尾灯的工作原理,设计出合理的尾灯控制电路,最终充分掌握汽车尾灯集成电路控制器的设计方法。

1 设计要求汽车尾部左右两侧分别设计三个指示灯,对这三个指示灯的设计要求主要为以下几点:首先,汽车在维持正常的行驶状态时,应该保证左右两侧的指示灯全灭;其次,汽车在向左转弯时,设计在汽车尾部左侧的三个指示灯应该按照左循环的顺序,依次点亮;反之,汽车在向右转弯时,设计在汽车尾部右侧的三个指示灯应该按照右循环的顺序,依次点亮;再次,汽车在紧急刹车时,汽车尾部所有的指示灯应该同时处于点亮的状态。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

方案四
• 设计分析: 由题目要求可知,当汽车正常行驶时,所 有灯全灭;当汽车转弯时,与该转弯方向 相对应的3只尾灯会按“暗暗暗→亮暗亮→ 暗亮暗→亮亮亮→暗暗暗”循环变化;当 汽车制动时,所有的尾灯都会变亮,而当 它转弯,则对应的尾灯循环变化,另一侧 尾灯全亮。从优先级角度看,转弯要大于 制动,即只要转弯,那么无论是否制动, 所对应的尾灯都要按上述循环变化。同时, 左右两侧的无任何联系。
设计实现
• 由真值表可得逻辑方程为 CI = T, D1 = D2 = D3 = D4 = ST,
所以本方案组合逻辑电路部分无需任何电子器 件,即表明本题只需要两片74LS161D就可以 实现所有功能。
仿真图
优缺点
• 优点:集成度高,所用器件很少,巧妙地 利用了计数器的计数和置数功能; • 缺点:没有组合逻辑电路的控制力强,电 路中一出现一些未知问题。
功能分析
方案一
• 设计分析 • 两侧尾灯的工作状态 状态可以分为三种, 分别为循环、全亮以 及全灭。由此想到选 用带异步控制端的D触 发器74LS74。
设计实现
• 循环电路和控制电路 • 循环电路用来实现灯的循环,控制电路用 来确定工作状态是循环、全亮还是全灭。
循环电路
• 通过观察可以发现,A和C的状态始终相同。 故只需设计A和B的循环电路。AB的循环如 下:00 10 01 11,卡诺图为
设计实现
• 下面列出设计步骤(以左灯为例): (a)用74LS161计数器实现模值为4循环计数 功能。初始化以及置数Q3Q2Q1Q0为低电 平(即Q3Q2Q1Q0 = 0000)。当时钟信号 到上边沿时触发计数,按Q3Q2Q1Q0的顺 序,分别为0000→0001→0010→0011,到 0011是开始反馈置数,可令=,当Q1Q0 = 11时又重新置数为0000,形成模值为4的计 数器。
真值表
卡诺图
电路图(1)
方案三
优缺点
• 优点:比较直观易懂,灯的亮暗直接由触 发器的输出端决定,开关也直接代表发出 的命令,如制动,左转,右转。 • 缺点:电路比较复杂,使用的门比较多, 集成度不高,不经济。
仿真中遇见的问题以及解决方法:
•(1)右边的灯能够正常运行,左边的灯, 经过检查发现左边某条线路有个结点没 有连上。 •(2)当S断开时,无论A和D状态如何, 两边的灯都按规律闪烁,而正确的是S 断开,A,D断开时灯都是暗的,哪边的 开关闭合,哪边的灯就按规律闪烁,为 了解决这个问题,我用了或门控制灯的 亮暗,输入端分别接触发器的Q端和开 关的输出端。
真值表
(b)设左边三个尾灯输出 分别为Z1Z2Z3,制动 输入为S,左转输入为 L。当左灯按计数器循 环变化时,列出如下 真值表: 通过上表可得,灯循环 变化时,Z1=Z3=Q0 ; Z2=Q1。
• (c)分别用D1D2D3代 表三只尾灯是按循环 变化输出的(此时 D1=Q0,D3=Q0, D2=Q1)再次列出如下 状态真值表: • 简化一下得: • 我们可以发现,当L=1 时,Z=D;当L=0时, Z=S。
优缺点
优点:思路简单,电路分块明显,方便 查 错,控制信号控制力强, 缺点:集成度不高,用的器件比较多, 重复的地方多
方案六
设计分析:
• 三种状态:循环亮灭,全亮以及全灭。 • BA灯在循环中显示00、01、10、11 • 设计思路: 由计数状态实现循环亮灭 全亮和全灭则可以由置数状态实现
设计实现
总结思考
•通过这次实验,我学会使用了 Multisim这个软件,虽然电路图 并不是特别复杂,但是仿真的过 程中却遇见了很多的问题,在发 现问题和解决问题的过程中,我 受益匪浅,并不简单的是这道题 目了,还有对待问题的态度和方 法。
谢谢!
汽车尾灯控制电路设计及仿真
陈璐瑶(22009303) 黄丹丹(22009308) 朱碧玉(22009309) 李 松(22009319) 谭艺洋(22009320) 王曜初(22009326)
题目要求
• 3.55 小汽车左、右两侧各有3只尾灯,当 汽车左转弯时,左侧的尾灯按下表所示方 式周期性亮灭;右转弯时,右侧尾灯也按 此规律变化;当汽车制动停车时,6只尾灯 同时亮;若在转弯前制动,则表示转弯的 尾灯正常动作,另一侧的尾灯全亮。试设 计上述功能的小汽车尾灯控制电路。
方案五
• 设计分析及实现(与之前方案相同处,此处作简) • 设计思路:通过观察可知一三号灯的状态其实是一 样的, 通过两个D触发器的级联不停产生产生 00,01,10.11.的循环信号,然后是控制信号,利用的 是两根L线和R线,LR为01,10,00时分别表示右转, 左转,刹车,由R线控制一个D触发器,来控制左灯,当 R为0时,循环信号可以通过D触发器,R为1时则被 保持右边的灯是相同的道理,再通过一个与或门来 判断究竟是保持信号还是将信号置0,由真值表可 以看出,其输出信号符合条件。
• 通过上述的规律,设 计中间变量M1M2M3 分别对应三只尾灯, 使M=L∙D当L=1时, M=D;当L=0时,M=0; • 得到下表:
• 根据异或门性质A异或 0=A得到下面两张表: 从而得X=S∙L , Z=X异或M
仿真图
优缺点
• 优点: 结构清晰,便于理解和验证查错。 • 缺点:所用器件过多,还有很多可以简化 的部分。
控制电路
• 左边三只尾灯的工作状态真值表如下制电路和循环电路的彻底 分离,充分利用了芯片的异步控制端。 • 缺点:连线较为复杂。
方案二
• 在灯的循环过程中,考虑用触发器来控制 灯的亮暗,又注意到第一和第三个灯的状 态永远都是一样的,所以这两个灯可用一 个触发器控制。以左侧为例,用A,B分别 表示两个灯的状态(1表示亮,0表示暗), 分析循环图可列出一下真值表:
循环过程
AB C


功能分析
• (Ⅰ)输入端:TL、TR、ST, 分别代表左转弯、 右转弯及制动。 • 输出端有六个,AL、BL、CL、AR、BR、 CR。 • 两侧A、C尾灯在任何状态下亮灭状态同步, 所以这两个灯可以用一个输出信号控制。
功能分析
• (Ⅱ) 车尾灯的状态分为两类。
• 一是转向信号和制动信号其中之一作用 • 二是转向信号和制动信号两者同时起作用
相关文档
最新文档