光电学院外文翻译论文

合集下载

光电材料作文模板英语翻译

光电材料作文模板英语翻译

光电材料作文模板英语翻译Title: Essay Template on Optoelectronic Materials。

Introduction:Optoelectronic materials have become an integral part of modern technology, with applications ranging from solar cells and LEDs to optical communication systems and sensors. This essay will explore the significance of optoelectronic materials, their properties, and their applications in various fields.Properties of Optoelectronic Materials:Optoelectronic materials are characterized by their ability to interact with light and electricity, making them crucial for the development of devices that utilize both these properties. Some common properties of optoelectronic materials include photoconductivity, which refers to the increase in electrical conductivity when exposed to light, and the ability to emit light when an electric current is applied, known as electroluminescence. These materials also exhibit unique optical and electronic properties, such as bandgap energy, refractive index, and carrier mobility, which are essential for their functionality in optoelectronic devices.Applications of Optoelectronic Materials:The applications of optoelectronic materials are diverse and extensive. One of the most prominent uses is in solar cells, where these materials are employed to convert sunlight into electricity. The efficiency and cost-effectiveness of solar cells are heavily reliant on the properties of the optoelectronic materials used. Additionally, optoelectronic materials are essential for the development of light-emitting diodes (LEDs), which are widely used for lighting and display purposes. Furthermore, these materials play a crucial role in optical communication systems, such as fiber optics, where they enable the transmission of data through light signals. Other applications include photodetectors, sensors, and photovoltaic devices, highlighting the versatility of optoelectronic materials in various technological advancements.Recent Developments and Future Prospects:In recent years, there have been significant advancements in the field of optoelectronic materials, driven by the demand for more efficient and sustainable technologies. Researchers have been focusing on the development of novel materials with enhanced optoelectronic properties, as well as the integration of these materials into innovative devices. For instance, the emergence of perovskite solar cells has garnered attention due to their high efficiency and low-cost production, potentially revolutionizing the solar energy industry. Additionally, the use of organic and quantum dot-based materials in LED technology has led to the creation of more energy-efficient and flexible lighting solutions. Looking ahead, the future prospects for optoelectronic materials are promising, with ongoing research aimed at improving their performance, durability, and scalability for widespread commercialization.Challenges and Considerations:Despite the rapid progress in optoelectronic materials, there are several challenges and considerations that need to be addressed. One of the primary concerns is the environmental impact of manufacturing and disposing of these materials, particularly in the case of toxic or non-biodegradable components. Furthermore, the stability and reliability of optoelectronic devices over extended periods remain a critical issue, as they are often exposed to harsh environmental conditions. Additionally, the scalability of production and the cost-effectiveness of optoelectronic materials are important factors that need to be optimized for their widespread adoption. Addressing these challenges will require collaborative efforts from researchers, industry stakeholders, and policymakers to ensure the sustainable development and deployment of optoelectronic materials.Conclusion:In conclusion, optoelectronic materials have revolutionized modern technology and continue to drive innovation across various industries. Their unique properties and diverse applications make them indispensable for the development of advanced electronic and photonic devices. With ongoing research and development, optoelectronic materials are poised to play a pivotal role in shaping the future of sustainable energy,communication, and sensing technologies. It is imperative to address the challenges and considerations associated with these materials to harness their full potential for the benefit of society.。

激光显示器毕业论文 英文翻译

激光显示器毕业论文 英文翻译

Laser display design and productionDisplay technology is the use of electronic technology to provide flexible transform visual information technology.Laser display technology, known as the fourth generation" revolutionary" display technology of laser dis play technology, known as the fourth generation" revolutionary" display technology. Laser display is widely used in TV, projector, public information screen, digital cinema, home theater, pilot training, big screen command display system, water curtain imaging performances and other fields, in the successful realization of miniaturization, but also can be applied in mobile phone projection, individualized helmet display system.ProspectReportedly, the display industry is an important part of information industry. Laser display with high color saturation and three color laser as the display light source, a color gamut range, long service life, environmental protection, energy-saving advantages, so that the display system comprehensive performance substantially span, is considered to be" a revolution in the field of display".Laser display colors, color performance ability is flat TV 2 ~ 3 times; the service life is flat TV light source more than 10 times; the production process is environmentally friendly, no waste water, waste gas, waste discharge. In addition, the laser source is the core device composed of semiconductor material, each 18 months performance can be doubled and the cost is reduced by half, its cost reduction potential is tremendous." These advantages make the laser display to the rapid speed of development.ProspectReportedly, the display industry is an important part of information industry. Laser display with high color saturation and three color laser as the display light source, a color gamut range, long service life, environmental protection, energy-saving advantages, so that the display system comprehensive performance substantially span, is considered to be" a revolution in the field of display".Laser display colors, color performance ability is flat TV 2 ~ 3 times; the service life is flat TV light source more than 10 times; the production process is environmentally friendly, no waste water, waste gas, waste discharge. In addition, the laser source is the core device composed of semiconductor material, each 18 months performance can be doubled and the cost is reduced by half, its cost reduction potential is tremendous." These advantages make the laser display to the rapid speed of development.Current situation analysisThe domestic status quoChinese laser display technology level completely in sync with the world. In China the concept first laser display, laser display technology leader, academician of Chinese Academy of Engineering Xu Zuyan under the leadership of academician, laser display technology research projects after 3 National 863 project, and contained more than 10, in 2003 to obtain major breakthrough, has launched many generation of laser TV principle prototype. In 2006 the group completed the research phase of the mission objectives, 2007 laser display technology begins to enter industrialization stage.Foreign statusAs the" next generation" display technology competition the focal point, SONY, Panasonic, Hitachi, Toshiba, Mitsubishi, EPSON, Samsung and other well-known international showed giant, have increased in the laser display field development. Since 2005 there have been many companies introduce laser television concept prototype. According to the United States of America" laser focus world" professional mediaoptimistic forecasts, 2010 before and after laser display technology in the world will form a $57000000000 / year in industrial scale.In recent years, the International German, Japan and the United States, South Korea and other countries have invested enormous human and material resources for the full color laser display technology research. Japanese industry calls it" the human visual revolution in history", and to the power of the state, a well-known multinational companies to participate in joint development. In 2005, the Japanese Sony company in Aichi Expo launched a large-scale laser theater, show its technology development achievement; 2006 March, Japan Seiko Epson Corporation announced and the United States Novalux strategic cooperation, common development of laser display technology; 2006 February, Japan's Mitsubishi Electric announced the launch of laser TV prototype, and initially set up the industrialization program, to seek in the the future high technology competition to occupy the strategic commanding elevation. Laser show beyond all dispute to become a monochrome display, color display, digital display after the next generation display technology.Different display devices based on different physical principles. Any electronic display method is to change the optical properties of certain. Active display device is the device of self-luminous display device; passive by external light irradiation and the realization of display. There are a number of display method is the use of light refraction, diffraction and polarization to achieve.Display device:Display device by a display device and relevant circuits, used by the display device can be divided into different, electron beam display device, flat panel display device and projection type display device. The display processor is constituted of a display device is an important component, its function is to buffer, timing, control and coordinate transformation, data insertion and deletion, image changes, rotation, shift and various other data control. The display processor includes a refresh memory, its capacity to accommodate one or more digital data, to fit the vision requirements. In a display device such as a keyboard input device, a graphics tablet,,, trackball and lever, is man machine combination method, used to strengthen the function of display device.Laser is a kind of energy is highly concentrated, highly monochromatic coherent light source, with several different color, in a display of attention. In the military and public place of entertainment, use of the holographic principle can form stereoscopic image holographic display. However, the practical application of laser display by the light intensity and efficiency of a certain limit.Display software:In a computer controlled display device, display software is an important component in a computer system, is based on the software compiled. Interactive display device capable of interacting by the graphic software. Interactive graphic display software generally consists of basic graphics software, special graphics software and application software is composed of three parts. In a display system in certain applications, requires the application of three-dimensional rotation technique. 3D rotation, zoom and cross-sectional profile technique in medical, construction design and mechanical design display applications is very useful, is a complicated problem to display software.Display system:Depending on the application, by one or more, one or a plurality of display device is composed of the availability of visual information electronic system. It received from various electronic equipment or system signal. Display system generally need to beequipped with the appropriate input device and the necessary recording equipment, in order to realize man machine contact and for later investigation by.Electron beam tube display device in display technique is still occupied the main position, but all board or wall display device (i.e., a matrix display ) superiority, will get developing quickly. Projection display technology has been flat screen display replaces the trend. Display software in intelligent display device is very important. Graphic language standardization, the wide application of computer display has a huge impact, thus great attention. Computer display technology development will promote the development of display software.A new image reconstruction technology makes full use of the advantages of the laser itself. In the light of the dissemination method, laser light source with the traditional incandescent lamp has a fundamentally different: ordinary incandescent light in all directions and laser emission, will all the light gathering in a parallel beam of light. In addition, the laser projector than traditional projector can express more color range, to provide more clear images. High definition allows the laser projector can be used for traditional projector is not applicable in some areas. The current widely used with halide lamp traditional projector cannot arbitrarily to around the room projection, projection lens compensation because only a very small distortion, so in principle should show near the axis of the projector, generally located in the center of the room. Laser irradiation in a vertical or horizontal angle of the screen, the effect is the same, no distortion. Even odd projection geometry, such as an arch screen, or even a circular screen, laser projection in any place will not produce ambiguous phenomenon. Laser projector such characteristics for annular projection to create a better future. In practical application, laser technology and many other advantages: compact projection head and laser unit can be separated; the laser unit can be hidden in the room, only the small projection head is exposed outside; the inclined projection distortion can be very simple correction etc..Laser display is red, green, blue ( RGB ) of three primary colors of laser light source for the display technology, can the true reproduction of the objective world rich, bright colors, and provide more powerful expressive force. Compared with natural light color gamut, a conventional display device can only reproduce the human eye can see colors in 30% and currently under development in the laser display can cover 90%, the huge potential for us to laser display technology of the future is full of expectations, so the laser display is known as" the human visual revolution in history".Supporting conditionsLaser technology laser display industry 's main support conditions. This requires that we strengthen the development of laser technology, especially in the laser technical breakthrough, because the laser has a direct influence on the effects of laser display. At present our country is in this respect is relatively deficient, is still mainly to imports.Laser technology is another major supporting conditions is investment. Laser display industry is a research and development process of long compared to the industry, long-term investment in research and development is a lot of enterprises can afford, so need government invested scientific research funds for research and development. Only in this way can we ensure the laser technology of rapid development.Industry chainLaser display industry chain is very long, the semiconductor chip from the R & D and production of semiconductor laser research and development production to R & D and production of laser TV affect microelectronics, optical and electrical appliances, white black appliances fields. The downstream industries have terminal productsmanufacturing industry ( for example displays, projectors and other equipment production ), the upstream industry semiconductor device production. Increase strength to drive laser display industry development will be able to drive a lot of high-tech industry development.Restricting factorsChina is now the development of laser display industry has encountered some difficulties, as follows:In 1, the semiconductor laser weak industrial base is the leading cause of our laser display with high power semiconductor laser rely mainly on imported from abroad is main reason, especially in high power semiconductor lasers extension and chip technology greatly limits the domestic development of laser display technology.In 2, the laser display technology has just completed the research phase of the mission, is entering the stage of industrialization. Due to the lack of industry chain, industry key link mechanism is not perfect and other reasons, all of the technology and market lack enough understanding, so the laser display is in the technology without the condition of product.In 3, terminal enterprises difficult to organize the complete laser display technology chain and industry chainIn 4, upstream development force is dispersive, capital throws inadequacy,low-level repeat construction serious. First of all, as the downstream terminal products manufacture of China's color TV enterprises because of historical reasons, it is difficult to organize the complete laser display technology chain and industry chain. Secondly, as the upper reaches of the enterprise, as the development of scattered strength, insufficient R & D investment, resulting in uneven level, low-level repeat construction serious.Policies and measuresBy means of laser display in the high-end market, special ( including all kinds of Engineering ) demonstration application, create market demand, expanding the size of the market, and promote the development of all kinds of social resources in the downstream areas, investment, form a complete mature laser display industry chain.Union, downstream enterprises in the fields of laser display industry alliance, organization, and make full use of our country in the color gamut, decoherence shimming technology and index of advantage, develop the relevant standards, for China's laser display industry group to provide protection.Improve the industrial chain, industrial union organization at the same time, government support is also essential, it will reduce the production cost, improve the technical chain has played a positive role.Increase innovation strength, encourage technical innovation in the field of innovation behavior, reward, through various measures to stimulate the laser technology innovation.Through the combination of production and research advance of laser display industrial key technology researchers will work extends to the industrialization of technology and technology research and development, for the production of manufacturers including system design, integration and key components of production process, relatively complete industrial technology solutions, and through the early entry in the local market, technology and product demonstration, creating a market demand and to the relevant manufacturers to promote technology solutions.Seize the international display industrial upgrading opportunities, as soon as possible to achieve laser show ( TV ) industrialization, as soon as possible to achieve the display industry upgrading.By the relevant government departments, organization support, relying on the advantage of units, as soon as the breakthrough of low cost integrated all-solid-state RGB light source, the standardization of shimming a decoherence device large-scale production technology and techniques, to construct the complete mature laser show( TV ) technology chain.By means of a laser show ( TV ) in the high-end and special market ( including all kinds of Engineering ) demonstration application, expand the size of the market, and promote the development of all kinds of social resources in the downstream areas, investment, construction of complete laser show ( television ) industry chain.Laser display technology research and development:Laser display technology research and development can be divided into 4 stages: the concept stage, development stage, early stage and industrialization scale industrialization stage. Laser display concept in the last century 60's, countries around the world scientists try to laser technology will be applied to the display light source research. Chinese Academy of engineering, Xu Zuyan first in the domestic the laser display concept, and made a preliminary study. But then the laser development level, project progress is slow. The last century 90's, along with the solid-state laser materials developed, greatly promoted the laser display technology research, 2003 laser display technology research to obtain historic breakthrough, through RGB three color of visible light laser successfully mixed into white light, and introduced a series of Engineering prototype. From 2005 to 2010, is a laser display industrialization stage, where China and the world famous enterprises have introduced the laser projector, laser TV principle prototype, laser display industry has entered the industrialization stage, at this stage, countries are stepping up the construction technical integrity of the industry chain, accelerate industrial demonstration and patent and technical standard. Around 2010 the laser display industry will enter a period of rapid growth, the laser display market of up to $57000000000 a year in industry scale.China's laser display industry development present situation:Laser show in 2005 was included in the national medium - and long-term development planning, and become a key technology, laser display technology in 2006 by the Ministry of information industry as "the overall technology advanced world, the world's leading key index". In 2003, RGB three color laser R & D initially completed, the introduction of laser display prototype, to launch in 2005 60 inch, 80 inch, 140 inch prototype laser TV, 2006 by the Ministry of information industry identification, launched 200 inch front projection prototype, completed in 2007 40 square meters projection screen laser digital film projector prototype.In order to promote the rapid development of laser display industry, Chinese Academy of Sciences in 2006 jointly founded the folk capital" in Beijing as a branch of photoelectric technology limited company", is committed to the implementation of the industrialization of laser display technology. The company by a number of academicians, industry experts as technical and strategic advisor, for laser display technology industrialization development of all-round support. In the full color laser display technical field, our country has a complete independent intellectual property rights of chain, have in the field to realize industrialization breakthrough foundation.The full color laser display technology industrialization project carry out smoothly, can give full play to our country in the electronic, electrical manufacturing industryadvantage, but also in the creative industry, information industry play a leading role in the country, driven the rapid development of relevant industry. Laser display industry upstream industries include: new materials, precision machining, laser, video standard; downstream industries include: multimedia public display, large screen control system, digital cinema, home theater, mobile video. Development of the full color laser display industry will achieve a new high-tech industry cluster.At the application level, laser display technology will become the future high-end display mainstream, applied to public information screen, laser TV, mobile phone, digital cinema projection display, projection display, portable screen directing a laser DLP projection principleAnd individualized helmet display system and other fields, in the large screen show a more realistic, more colorful dynamic image, generating beat all shock. Future display may be laser technology to replace the ordinary film projectors, color space can only cover the human eye can recognition color space 33%, and laser film projector can beup to 70%, or even 90%. Laser projection luminous efficiency is high, the traditional projection bulb is a halogen bulb, only the 2-3% energy is converted into heat energy, the other, also is afraid of vibration. The laser projection power consumption is relatively small, can not generate heat. Its life can reach 100000 hours, after high temperature aging test projected life up to millions of hours, so it is a long instructed the high reliability of the products.The full color laser display the product's advantages are: color space, color rich, high color saturation, color expressive force is the traditional TV more than 2 times; secondly, the light source and long service life, maintenance costs overall low use cost, laser light source completely break the traditional light source photoelectric conversion mode, life up to 10 years, is the traditional light source life 10 times to 20 times; third, production equipment, small investment scale, the laser display production equipment investment, can range from tens of millions of dollars to hundreds of billions of dollars invested; fourth, environmental protection and energy saving, power consumption is only 1 / 3 of the traditional TV, very much in line with the national policy of energy saving and emission reduction, and laser light source during the production process the use of a threat to the environment of the metal material, and is an environment friendly light source; fifth, cost reduction potential, with the enlargement of the industry scope and the adoption of new technology, the laser display system design to produce revolutionary change, in improving the display quality and greatly reduce the cost.Laser display, is the second display black and white, color display, digital display after a new generation of technology, known as" the human visual history of revolution", has the color gamut range, long service life, environmental protection and other features. Miniature solid state laser was created, the computer will no longer need the LCD screen, only whenever and wherever possible projection; because of the laser, mobile phone screen can be enlarged several times.At the application level, laser display technology will become the future high-end display mainstream, applied to public information screen, laser TV, mobile phone, digital cinema projection display, portable projection display, large screen command and individualized helmet display system and other fields, in the large screen show a more realistic, more colorful dynamic image, generating beat all the shock.Future display may be laser technology to replace the ordinary film projectors, color space can only cover the human eye can recognition color space 33%, and laser film projector can be up to 70%, or even 90%. Laser projection luminous efficiency is high, the traditional projection bulb is a halogen bulb, only the 2-3% energy isconverted into heat energy, the other, also is afraid of vibration. The laser projection power consumption is relatively small, can not generate heat. Its life can reach 100000 hours, after high temperature aging test projected life up to millions of hours, so it is a long instructed the high reliability of the products.Laser display future applications included in the mobile phone display, portable projector and a backlight television and cinema, can be widely used in the market. And the Chinese Academy of Sciences and recently also in consideration of how the laser source is applied to the liquid crystal television lighting, the new type of light source and the current mainstream TV technology combined with.激光显示器的设计与制作显示技术是利用电子技术提供变换灵活的视觉信息的技术。

光伏发电逆变器毕业论文中英文资料外文翻译文献

光伏发电逆变器毕业论文中英文资料外文翻译文献

光伏发电逆变器毕业论文中英文资料外文翻译文献附录:文献翻译TMS320LF2407, TMS320LF2406, TMS320LF2402TMS320LC2406, TMS320LC2404, MS320LC2402DSP CONTROLLERSThe TMS320LF240x and TMS320LC240x devices, new members of the ‘24x family of digital signal processor (DSP) controllers, are part of the C2000 platform of fixed-point DSPs. The ‘240x devices offer the enhanced TMS320 architectural design of the ‘C2xx core CPU for low-cost, low-power, high-performance processing capabilities. Several advanced peripherals, optimized for digital motor and motion control applications, have been integrated to provide a true single chip DSP controller. While code-compatible with the existing ‘24x DSP controller devices, the ‘240x offers increased processing performance (30 MIPS) and a higher level of peripheral integration. See the TMS320x240x device summary section for device-specific features.The ‘240x family offers an array of memory sizes and different peripherals tailored to meet the specific price/performance points required by various applications. Flash-based devices of up to 32K words offer a reprogrammable solution useful for:◆Applications requiring field programmability upgrades.◆Development and initial prototyping of applications that migrate to ROM-baseddevices.Flash devices and corresponding ROM devices are fully pin-to-pin compatible. Note that flash-based devices contain a 256-word boot ROM to facilitate in-circuit programming.All ‘240x devices offer at least one event manager module which has been optimized for digital motor control and power conversion applications. Capabilities of this module include centered- and/or edge-aligned PWM generation, programmable deadband to prevent shoot-through faults, and synchronized analog-to-digital conversion. Devices with dual event managers enable multiple motor and/or converter control with a single ‗240x DSP controller.The high performance, 10-bit analog-to-digital converter (ADC) has a minimum conversion time of 500 ns and offers up to 16 channels of analog input. The auto sequencing capability of the ADC allows a maximum of 16 conversions to take place in a single conversion session without any CPU overhead.A serial communications interface (SCI) is integrated on all devices to provide asynchronous communication to other devices in the system. For systems requiring additional communication interfaces; the ‘2407, ‘2406, and ‘2404 offer a 16-bit synchronous serial peripheral interface (SPI). The ‘2407 and ‘2406 offer a controller area network (CAN) communications module that meets 2.0B specifications. To maximize device flexibility, functional pins are also configurable as general purpose inputs/outputs (GPIO).To streamline development time, JTAG-compliant scan-based emulation has been integrated into all devices. This provides non-intrusive real-time capabilities required to debug digital control systems. A complete suite of code generation tools from C compilers to the industry-standard Code Composerdebugger supports this family. Numerous third party developers not only offer device-level development tools, but also system-level design and development support.PERIPHERALSThe integrated peripherals of the TMS320x240x are described in the following subsections:●Two event-manager modules (EV A, EVB)●Enhanced analog-to-digital converter (ADC) module●Controller area network (CAN) module●Serial communications interface (SCI) module●Serial peripheral interface (SPI) module●PLL-based clock module●Digital I/O and shared pin functions●External memory interfaces (‘LF2407 only)●Watchdog (WD) timer moduleEvent manager modules (EV A, EVB)The event-manager modules include general-purpose (GP) timers, full-compare/PWM units, capture units, and quadrature-encoder pulse (QEP) circuits. EV A‘s and EVB‘s timers, compare units, and capture units function identically. However, timer/unit names differ for EV A and EVB. Table 1 shows the module and signal names used. Table 1 shows the features and functionality available for the event-manager modules and highlights EV A nomenclature.Event managers A and B have identical peripheral register sets with EV A starting at 7400h and EVB starting at 7500h. The paragraphs in this section describe the function of GP timers, compare units, capture units, and QEPs using EV A nomenclature. These paragraphs are applicable to EVB with regard to function—however, module/signal names would differ.Table 1. Module and Signal Names for EV A and EVBEVENT MANAGER MODULESEV AMODULESIGNALEVBMODULESIGNALGP Timers Timer 1Timer 2T1PWM/T1CMPT2PWM/T2CMPTimer 3Timer 4T3PWM/T3CMPT4PWM/T4CMPCompare Units Compare 1Compare 2Compare 3PWM1/2PWM3/4PWM5/6Compare 4Compare 5Compare 6PWM7/8PWM9/10PWM11/12Capture Units Capture 1Capture 2Capture 3CAP1CAP2CAP3Capture 4Capture 5Capture 6CAP4CAP5CAP6QEP QEP1QEP2QEP1QEP2QEP3QEP4QEP3QEP4External Inputs DirectionExternalClockTDIRATCLKINADirectionExternal ClockTDIRBTCLKINBGeneral-purpose (GP) timersThere are two GP timers: The GP timer x (x = 1 or 2 for EV A; x = 3 or 4 for EVB) includes:● A 16-bit timer, up-/down-counter, TxCNT, for reads or writes● A 16-bit timer-compare register, TxCMPR (double-buffered with shadow register), forreads or writes● A 16-bit timer-period register, TxPR (double-buffered with shadow register), forreads or writes● A 16-bit timer-control register,TxCON, for reads or writes●Selectable internal or external input clocks● A programmable prescaler for internal or external clock inputs●Control and interrupt logic, for four maskable interrupts: underflow, overflow, timercompare, and period interrupts● A selectable direction input pin (TDIR) (to count up or down when directionalup-/down-count mode is selected)The GP timers can be operated independently or synchronized with each other. The compare register associated with each GP timer can be used for compare function and PWM-waveform generation. There are three continuous modes of operations for each GP timer in up- or up/down-counting operations. Internal or external input clocks with programmable prescaler are used for each GP timer. GP timers also provide the time base for the other event-manager submodules: GP timer 1 for all the compares and PWM circuits, GP timer 2/1 for the capture units and the quadrature-pulse counting operations. Double-buffering of the period and compare registers allows programmable change of the timer (PWM) period and the compare/PWM pulse width as needed.Full-compare unitsThere are three full-compare units on each event manager. These compare units use GP timer1 as the time base and generate six outputs for compare and PWM-waveform generation using programmable deadband circuit. The state of each of the six outputs is configured independently. The compare registers of the compare units are double-buffered, allowing programmable change of the compare/PWM pulse widths as needed.Programmable deadband generatorThe deadband generator circuit includes three 8-bit counters and an 8-bit compare register. Desired deadband values (from 0 to 24 µs) can be programmed into the compare register for the outputs of the three compare units. The deadband generation can be enabled/disabled for each compare unit output individually. The deadband-generator circuit produces two outputs (with orwithout deadband zone) for each compare unit output signal. The output states of the deadband generator are configurable and changeable as needed by way of the double-buffered ACTR register.PWM waveform generationUp to eight PWM waveforms (outputs) can be generated simultaneously by each event manager: three independent pairs (six outputs) by the three full-compare units with programmable deadbands, and two independent PWMs by the GP-timer compares.PWM characteristicsCharacteristics of the PWMs are as follows:●16-bit registers●Programmable deadband for the PWM output pairs, from 0 to 24 µs●Minimum deadband width of 50 ns●Change of the PWM carrier frequency for PWM frequency wobbling as needed●Change of the PWM pulse widths within and after each PWM period as needed●External-maskable power and drive-protection interrupts●Pulse-pattern-generator circuit, for programmable generation of asymmetric,symmetric, and four-space vector PWM waveforms●Minimized CPU overhead using auto-reload of the compare and period registersCapture unitThe capture unit provides a logging function for different events or transitions. The values of the GP timer 2 counter are captured and stored in the two-level-deep FIFO stacks when selected transitions are detected on capture input pins, CAPx (x = 1, 2, or 3 for EV A; and x = 4, 5, or 6 for EVB). The capture unit consists of three capture circuits.Capture units include the following features:●One 16-bit capture control register, CAPCON (R/W)●One 16-bit capture FIFO status register, CAPFIFO (eight MSBs are read-only, eightLSBs are write-only)●Selection of GP timer 2 as the time base●Three 16-bit 2-level-deep FIFO stacks, one for each capture unit●Three Schmitt-triggered capture input pins (CAP1, CAP2, and CAP3)—one input pinper capture unit. [All inputs are synchronized with the device (CPU) clock. In order fora transition to be captured, the input must hold at its current level to meet two risingedges of the device clock. The input pins CAP1 and CAP2 can also be used as QEPinputs to the QEP circuit.]●User-specified transition (rising edge, falling edge, or both edges) detection●Three maskable interrupt flags, one for each capture unitEnhanced analog-to-digital converter (ADC) moduleA simplified functional block diagram of the ADC module is shown in Figure 1. The ADC module consists of a 10-bit ADC with a built-in sample-and-hold (S/H) circuit. Functions of the ADC module include:●10-bit ADC core with built-in S/H●Fast conversion time (S/H + Conversion) of 500 ns●16-channel, muxed inputs●Autosequencing capability provides up to 16 ―autoconversions‖ in a single session.Each conversion can be programmed to select any 1 of 16 input channels●Sequencer can be operated as two independent 8-state sequencers or as one large16-state sequencer (i.e., two cascaded 8-state sequencers)●Sixteen result registers (individually addressable) to store conversion values●Multiple triggers as sources for the start-of-conversion (SOC) sequence✧S/W – software immediate start✧EV A – Event manager A (multiple event sources within EV A)✧EVB – Event manager B (multiple event sources within EVB)✧Ext – External pin (ADCSOC)●Flexible interrupt control allows interrupt request on every end of sequence (EOS) orevery other EOS●Sequencer can operate in ―start/stop‖ mode, allowing multiple ―time-sequencedtriggers‖ to synchronize conv ersions●EV A and EVB triggers can operate independently in dual-sequencer mode●Sample-and-hold (S/H) acquisition time window has separate prescale control●Built-in calibration mode●Built-in self-test modeThe ADC module in the ‘240x has been enhanced to pro vide flexible interface to event managers A and B. The ADC interface is built around a fast, 10-bit ADC module with total conversion time of 500 ns (S/H + conversion). The ADC module has 16 channels, configurable as two independent 8-channel modules to service event managers A and B. The two independent 8-channel modules can be cascaded to form a 16-channel module. Figure 2 shows the block diagram of the ‘240x ADC module.The two 8-channel modules have the capability to autosequence a series of conversions,each module has the choice of selecting any one of the respective eight channels available through an analog mux. In the cascaded mode, the autosequencer functions as a single 16-channel sequencer. On each sequencer, once the conversion is complete, the selected channel value is stored in its respective RESULT register. Autosequencing allows the system to convert the same channel multiple times, allowing the user to perform oversampling algorithms. This gives increased resolution over traditional single-sampled conversion results.Figure 2. Block Diagram of the ‘240x ADC ModuleFrom TMS320LF2407, TMS320LF2406, TMS320LF2402TMS320LC2406, TMS320LC2404, MS320LC2402数字信号处理控制器TMS320LF240x和TMS320LC240x系列芯片作为’24x系列DSP控制器的新成员,是C2000平台下的一种定点DSP芯片。

毕业设计论文 外文文献翻译 光信息科学与技术 光电目标位置和速度测量系统 中英文对照

毕业设计论文 外文文献翻译 光信息科学与技术 光电目标位置和速度测量系统 中英文对照

Electro-optical target system for position and speed measurementAbstractThis paper introduces an electro-optical target system(EOTS) covering the speed range from subsonic to supersonic. This microcomputer-based system has a novel structure and shows the capability of precisely detecting the position as well as the velocity of small caliber projectiles in real time. A prototype EOTS whose target area is 1m2 has been constructed and tested. A speed accuracy of better than 0.3% was achieved. A position accuracy, mainly dependent on the spacing between photodiodes in EOTS, of better than 1mm on a target area of 1m2was also accomplished.Keywords: External ballistics, No contact measurement, Electro-optical techniques, Position measurement, Speed measurement1 IntroductionThe speed and position measurements of projectiles are two important items in ballistic research. To determine these parameters precisely one needs an accurate measuring system. A conventional method, namely the hanging up(and taking down) of target discs[l], though accurate in position measuring, is time consuming. A shot-position indicator(SPI), described in Reference 2, can measure the position of a high speed projectile by acoustic measurement. However, the SPI does not provide the speed information; neither does the conventional method. Besides, the SPI is used within the limits of supersonic projectiles.To measure the speed and position of projectiles rapidly and simultaneously, different electro-optical based systems have been proposed 3-5]. These systems have the ability to cover the speed range from subsonic to supersonic. One system, called the target measurement system(TMS)[3], uses vertical and horizontal banks of light sources to form two perpendicular light grids that construct the target area. Another system, called the electro-optical projectile analyzer[4], uses the same principle as TMS, but simplifies light sources with fiber optics bundles and a single light source in each light grid. The other system, called the electronic yaw screen(EYS)[5], uses a solid state laser that is collimated and directed to a one-dimensional beam expander system to form a fan-shaped light screen. This light screen then is reflected by a mirror to construct a portion of the target area. The light screen is more precise than the light grid because there is no dead zone in the target area as with the light grid system.From the aspect of speed and position measurement, we take advantage of the above systems and propose a novel system; the electro-optical target system(EOTS)[6]. We use a cylindrical mirror that reflects the incident laser beam into a 90º fan-shaped light screen. Two such light screens construct a two-dimensional positioning system. We even propose a bent cylindrical mirror to generate a 90º light screen with a few degrees extended in a direction normal to the light screen to reduce the sensitivity to vibrations.A prototype EOTS, whose target area is 1m2 and measured speed range is from 50m/s to 1200m/s, has been constructed and tested. A speed range of up to 5000m/s can also be expected according to the simulation results from the electronic circuit using PSpice[7]. Finally, a nine-point testing result from a 0.38in. pistol is shown in this paper. The result shows that the standard deviation of position accuracy is less than 1mm.2 Basic principle of EOTSFig. 1 shows the optical configuration of EOTS. A laser beam from a He-Ne laser is directed onto a cylindrical mirror. The reflected laser beams create a fan-shaped light screen and are directed onto photodiodes that are neatly arranged into an L-shaped photodiode array. EOTS uses two laser sources, two cylindrical mirrors and two photodiode arrays, which are arranged on the opposite sides of the EOTS body to form two fan-shaped light screens. Each light screen is combined with its own signal processing circuit to construct an optical gate. Although there is a distance between the two parallel light screens, viewed from a distance point, these fan beams intersect in a region of space called the target area (Fig. 2). A projectile can be measured only if it travels through this target area.Fig. 1 Optical configuration of EOTSFig. 3 shows the shot position of the projectile is calculated. The target area, for the convenience of illustration, is a square of dimension D on each side. The number of photodiodes on the L-shaped photodiode array is 2N . Each photodiode is numbered in order, as shown in the figure. For illustration clarity, only the photodiode array and the cylindrical mirror of the first optical gate are shown. The projectile is considered to be incident normally to the first and to the second optical gate in sequence. When the projectile blocks the light screens, the respective photodiodes will be activated by the disturbance. In the first optical gate, the laser beam from the cylindrical mirror to each photodiode makes a unique angle with the y-axis. This angle is measured counter-clockwise from the axis. The angle with respect to a photodiode, numbered n, can be calculated as 12()()arctan ,1n n n N Nδ1-=≤≤ (1) and12()arctan ,12(2)N n N n N N n δ1=+≤≤-+ (2) If certain photodiodes, numbered from j to k , are activated by projectiles, then the shot-position angle δ1, is given by()()2j k δδδ111+= (3)Fig. 2 Intersections of the two light screens in the target area Similarly, the shot-position angle of the second optical gate δ2, measured clockwise from the minus y-axis, is decided. After the two angles have been measured, theFig. 3 Illustration of shot-position calculation shot position of the projectile is deduced in Cartesian coordinates as212tan tan tan D y δδδ=+ (4) and1tan z y δ= (5)If S is the distance between the two light screens, then the average speed v for the projectile passing through the distance S is given byS v T= (6) where T is the time interval for the projectile to pass through distance S .3 Configuration of EOTS3.1 Optical system of EOTSWe use a He-Ne laser directed onto a cylindrical mirror to create a light screen. The relation among the laser beam diameter d, the cylindrical mirror diameter w and the beam expanding angle φ is shown in Fig. 4. This relation can be calculated as2arcsind w φ=2 (7) To create a light screen of which φ equals 90º, the ratio of w to d is 2.8. Because the He-Ne laser beam has Gaussian distribution and each photodiode on the photodiode array has a different distance to the cylindrical mirror, the received laser power at each photodiode is not constant. This will influence the speed accuracy of EOTS (see Fig. 6 and Section 4.1).3.2 Analogue circuitryEOTS has 2N analogue channels in each of its two optical gates. Every analogue channel has the same structure. Each analogue channel contains a photodiode, a linear amplifier, a band-pass filter and a comparator. The linear amplifier amplifies the signal coming from the photodiode. The band-pass filter filters noises such asFig.4 Laser beam directs on a cylindrical mirrorbugs flying through the light screen and flicker of other light sources nearby. The comparator compares the output V0 , coming from the filter with a threshold voltage V TH. If V0is higher than V TH, then the comparator will activate a flip-flop (FF) to change the state.3.3 Digital circuitryFig.5 is the block diagram of the digital signal processing circuit. Input coming from the analogue channel is fed to a relative FF. When the projectile blocks the light screen of the first optical gate, the state-changed FF s will make the output of the NAND gate U1 change state. The U1 locks all FF s of the first optical gate to protect genuine projectile data from the influence of shock waves behind the projectile, and starts the counter U5 that operates at a clock frequency of 10MHz. As the projectile blocks the light screen of the second optical gate, the circuit of the second optical gate functions as the circuit of the first optical gate did, but stops the counter. Moreover, the NAND gate U2 passes an interrupt signal (INT) to the central processing unit (CPU) while U5 is being stopped. The CPU then recognizes the interrupt request, picks the projectile data up, and resets U5 and all FF s for the next shot, in sequence. In Fig. 5, the counter relates the time interval T in eqn. 6. Besides, every photodiode is assigned a specific FF and every FF is given a relative address. Therefore, the CPU will be able to identify which photodiode generates the signal, to decide the impact position of eqns. 1-5, and to calculate the speed of the projectile.Fig. 5 Block diagram of digital signal processing circuit 4 Accuracy of EOTS4.1 Accuracy of speed measurementThe accuracy of projectile velocity measurement with sky-screens has been deduced by Hartwig [8] asS v T v v S∆+∆∆≤ (8) where parameters were the same as eqn. 6 used. Δv , ΔS and ΔT are values of maximum error in v , S and T , respectively. In EOTS, photodiodes are directed by nonuniform optical power, as described in Section 3.1, which implies that different analogue channels will have different response times, as though they are activated in the same way. Fig. 6 describes the typical input and output waveforms of an analogue channel when a projectile passes through the light screen. The dotted line is theFig. 6 Typical input and output waveform of analogue channelresponse of the weaker optical input with respect to the solid line. In this Figure, the optical power density directed onto the photodiode is considered to be constant along the x-axis. Referring to the solid line, the projectile touches the light screen at T1and entirely blocks laser beams at T2; the activated photodiode current I D drops from I DH to I DL.The output voltage V0of the analogue channel then rises to a saturation voltage V sat. The counter is not triggered until V0is larger than V TH. The interval from T1 to the time that V0equals V TH is called the response time t r From Fig. 6, we can realise that a different input power variation with time will produce a different output response time t r. Therefore, the ΔT of eqn. 8 should include Δt r, for EOTS, where Δt r, is the worst-case difference, i.e., the largest t r of the first optical gate minus the smallest t r of the second optical gate. Table 1 lists the simulation results of Δt r of the analogue circuit with respect to different projectile velocities using PSpice.Table 1 Simulation results of Δt, respect to projectile speed4.2 Accuracy of position measurementConsidering an EOTS structure in Fig. 3, if a photodiode, numbered n, is activated by a projectile, the exact shot-position angle δe , will be within the range1arctan()arctan(),1e n n n N N Nδ-≤≤≤≤ (9) orarctan()arctan(),12212e N N N n N N n N nδ≤≤+≤≤-+- (10) Referring to eqns. 1 and 2, eqns. 9 and 10 express that the worst-case deviation of δe , Δδ is caused by half-photodiode-spacing shift of measuring ambiguity. Thus, the deviations of the y-axis and z-axis can be deduced as1212y y y δδδδ∂∂∆=∆+∆∂∂ (11) and1212z z z δδδδ∂∂∆=∆+∆∂∂ (12) Where Δδ1 and Δδ2 are Δδ of the first and the second optical gate, respectively.2122112sec tan (tan tan )D y δδδδδ∂=-∂+ (13) 2122212tan sec (tan tan )D y δδδδδ∂=∂+ (14) 22122112sec tan (tan tan )D z δδδδδ∂=∂+ (15) and22122212tan sec (tan tan )D z δδδδδ∂=∂+ (16) It is obvious from eqns 9-16 that N has to be increased as the position accuracy needs to be better for a same size of D.Fig. 2 shows the intersection of the two light screens in the target area where intervals between photodiodes are considered to be constant. As indicated in this Figure, different detector positions will produce different resolutions. Fig. 7 shows simulation results of the worst-case deviations on the y-axis (or z-axis). The shot-position angle δ1, is fixed at 45º and the activated photodiode of the second optical gate varies from number 40 to 360 on an EOTSwith D = 1000mm and 2N = 400. Fig. 7 shows deviations on the y-axis (or z-axis) of less than 1mm corresponding to certain photodiodes that are numbered approximately from 120 to 280.A pentagon-shaped region, which is shown in Fig. 2 and has an accuracy better than 1mm, also corresponds to those photodiodes.Fig. 7 The worst-case deviation on y-axis and z-axis as δ1 is fixed at 45º5 Experimental resultsA prototype EOTS was used in the experiments. The main specifications of the system are listed as follows: d = 0.81mm, w = 2.5mm, S = 635mm, D = 1000mm, 2N = 384 and laser output optical power P = 7.5mW. We hung up a paper target behind EOTS for comparison. Fig. 8 shows the y and z coordinates of nine impact points from a 0.38in. pistol. The impact positions and the velocities were measured by EOTS. In Fig. 8, the two crosses at the bottom indicate the positions of cylindrical mirrors. Table 2 compares the results generated by EOTS with the measurement results from the paper target. The standard deviation is less than 1mm.6 Discussion and conclusionsThis paper presents a novel electro-optical target system for small calibre projectiles. Position and speed data can be generated instantaneously by the microcomputer-based control unit with the addition of appropriate software. The most accurate region of positioning, which is a pentagon-shaped area, distributes over the centre of the target area. The accuracy of position and speed measurement has been analyzed in this paper. To improve the speed accuracy, we should reduce the influence of the response time difference. To increase the distance between the two light screens, of course, is another method to improve the speed accuracy, but the position accuracy will become worse. To improve position accuracy, the photodiode array which has less space between two adjacent photodiodes is suggested.Fig. 8 The computer printout of EOTS, origin is shifted to centre of the target area The measured speed range of EOTS is from subsonic to supersonic. A speed accuracy of better than 0.3% is accomplished. With a different design concept, EOTS need not synchronized with the firing signal as EYS. It is always ready for any advancing projectile as the power of EOTS has been turned on.Fig. 9 Laser beam directs on a bent cylindrical mirrorIf a slightly bent cylindrical mirror were used (Fig. 9), the light screen could extend a few degrees in the x-direction. This makes optics alignment easier and insensitive to vibrations. However, the surface quality of the cylindrical mirror is critical to the uniformity of the fan-shaped beam in the x-direction. The nonuniformity of the fan beam in the x-direction will enhance the sensitivity to vibrations.Table 2 List of results measured by EOTS and by artificialComparing EOTS with EYS and other conventional methods, EOTS has the following advantages:(a) It can measure position and speed precisely and simultaneously.(b) Its optical system is simple and easy to set up.(c) It is insensitive to vibrations if a bent cylindrical mirror is used.(d) Its speed range covers subsonic to supersonic.References[1] BETTERMANN, P, and MAYER, F. Handbook on weaponry. Rheinmetall GmbH, Dusseldorf, 1982.[2] FARRAR, C.L., and LEEMING, D.W. Military ballistics. Brassey’s Publishers Limited, 1983.[3] CRITTENDEN, E.C., KING, R.A., and ANDREWS, T.C. Target measurement system for precise projectile location. US Paten No.3727069, 1973.[4] BAILEY, T.B., and BATES, J. Electro-optical projectile analyzer. US PatentNo.4272189, 1981.[5] DECK, L.L. An optical device for rapid measurement of the speed, dispersion, attack angle and shock wave of high velocity small caliber projectiles. Proceeding of 10th International Symposium on Ballistics, 1987, 1,pp. 1-9.[6] LU, S.T., YU, A.T, and CHOU, C. Electro-optics target for position and speed measurement, Proc. SPIE, 1988, 981, pp.250-254[7] TUINENGA, P.W. SPICE a guide to circuit simulation and analysis using PSpice. Prentice-Hall, 1988.[8] HARTWIG, R. Accuracy of velocity measurement of projectiles with fins and tracers by means of sky-screens. J. Ballistics, 1986, 9, (3), pp.2299-2310.光电目标位置和速度测量系统摘要本文介绍了一种光电目标系统(EOTS),其速度测量范围从亚音速到超音速。

光电系毕业论文外文翻译半导体激光器适用于毕业论文外文翻译+

光电系毕业论文外文翻译半导体激光器适用于毕业论文外文翻译+

毕业设计(论文)英文翻译姓名学号0811122121所在学院理学院专业班级2008级光信1班指导教师日期2012年4月20日英文原文1.5 Experimental Setup Due to the many concepts and variations involved in performing the experimentsin this project and also because of their introductory nature Project 1 will very likelybe the most time consuming project in this kit. This project may require as much as 9hours to complete. We recommend that you perform the experiments in two or morelaboratory sessions. For example power and astigmatic distance characteristics maybe examined in the first session and the last two experiments frequency andamplitude characteristics may be performed in the second session. A Note of Caution All of the above comments refer to single-mode operation of the laser which is avery fragile device with respect to reflections and operating point. One must ensurethat before performing measurements the laser is indeed operating single-mode.This can be realized if a single broad fringe pattern is obtained or equivalently a goodsinusoidal output is obtained from the Michelson interferometer as the path imbalanceis scanned. If this is not the case the laser is probably operating multimode and itscurrent should be adjusted. If single-mode operation cannot be achieved by adjustingthe current then reflections may be driving the laser multimode in which case thesetup should be adjusted to minimize reflections. If still not operating single-modethe laser diode may have been damaged and may need to be replaced. Warning The lasers provided in this project kit emit invisible radiation that can damagethe human eye. It is essential that you avoid direct eye exposure to the laser beam.We recommend the use of protective eyewear designed for use at the laser wavelengthof 780 nm. Read the Safety sections in the Laser Diode Driver Operating Manual and in thelaser diode section of Component Handling and Assembly Appendix A beforeproceeding.1.5.1 Semiconductor Diode Laser Power Characteristics1. Assemble the laser mount assembly LMA-I and connect the laser to its powersupply. We will first collimate the light beam. Connect the laser beam to a videomonitor and image the laser beam on a white sheet of paper held about two to tencentimeters from the laser assembly. Slowly increase the drive current to the laser andobserve the spot on the white card. The threshold drive current rating of the laser issupplied with each laser. Increase the current to about 10-20 mA over the thresholdvalue. With the infrared imager or infrared sensor card observe the spot on the card andadjust the collimator lens position in the laser assembly LMA-I to obtain a bright spoton the card. Move the card to about 30 to 60 centimeters from the lens and adjust thelens position relative to the laser to obtain a spot where size does not vary stronglywith the position of the white card. When the spot size remains roughly constant asthe card is moved closer or further from the laser the output can be consideredcollimated. Alternatively the laser beam may be collimated by focusing it at adistance as far away as possible. Protect fellow co-workers from accidental exposureto the laser beam.2. Place an 818-SL detector on a post mount assembly M818 and adjust its positionso that its active area is in the center of the beam. There should be adequate opticalpower falling on the detector to get a strong signal. Connect the photodetector to thepower meter 815. Reduce the background lighting room lights so that the signalbeing detected is only from the laser. Reduce the drive current to a few milliamperesbelow threshold and again check to see that room light is not the dominantsignal atthe detector by blocking the laser light.3. Increase the current and record the output of the detector as a function of laser drivecurrent. You should obtain a curve similar to Figure 1.2. If desired the diodetemperature may also be varied to observe the effects of temperature on thresholdcurrent. When examining laser diode temperature characteristics the laser diodedriver should be operated in the constant current mode as a safeguard againstexcessive currents that damage the diode laser. Note that as the diode temperature isreduced the threshold decreases. Start all measurements with the diode current off toprevent damage to the laser by preventing drive currents too high above threshold.To prevent destruction of the laser do not exceed the stated maximum drive current ofthe laser.1.5.2 Astigmatic Distance Characteristics The laser diode astigmatic distance is determined as follows. A lens is used tofocus the laser beam at a convenient distance. A razor blade is then incrementallymoved across the beam to obtain data for total optical power passing the razor edge vs.the razor blade position. A plot of this data produces an integrated power profile of thelaser beam Figure 1.9a which through differentiation exposes the actual powerprofile Figure 1.9b which in turn permits determination of the beam diameter W.A beam diameter profile is obtained by measuring the beam diameter while varyingthe laser position. Figure 1.9c illustrates the two beam diameter profiles of interest:one for razor edge travel in the direction perpendicular to the laser diode junctionplane and the other for travel in the direction parallel to the junction plane. Theastigmatic distance for a laser diode is the displacement between the minima of thesetwo profiles. This method is known as the knife edge technique.1. Assemble the components shown in Figure 1.8 with the collimator lens LC in therotational stage assembly RSA-I placed roughly 1 centimeter away from the laser.The beam should travel along the optic axis of the lens. This is the same lens used incollimating the laser in the previous setup. The approximate placement of all thecomponents are shown in the figure. Make sure that the plane of the diode junctionxz plane in Figure 1.1 is parallel with the table surface.2. Due to the asymmetric divergence of the light the cross-section of the beamleaving the laser and further past the spherical lens is elliptical. The beam thus hastwo distinct focal points one in the plane parallel and the other in the planeperpendicular to the laser diode junction. There is a point between the two focalpoints where the beam cross-section is circular. With the infrared imager and a whitecard roughly determine the position where the beam cross-section is circular. Figure 1.9 – Procedure for finding astigmatic distance.3. Adjust the laser diode to lens distance such that the razor blades are located in thexy plane where the beam cross-section is circular.4. Move the laser diode away from the lens until minimum beam waist is reached atthe plane of razor blades. Now move the laser diode about 200 m further away fromthe lens.5. Move razor blade 1 in the x direction across the beam through the beam spreadθxand record the x position and detected intensity at each increment ≤100 mincrements. The expected output is shown in Figure 1.9. The derivative of this curveyields the intensity profile of the beam in the x direction from which the beamdiameter is determined.6. Repeat with razor blade 2 for θy in the y direction.7. Move the laser closer to the lens in increments ≤50 m through a total of at leastthan 500m. Repeat Steps 5 and 6 at each z increment recording the z position.8. Using the collected data determine the beam intensity profiles in the x and ydirections as a function of the lens position z. This is done by differentiating each dataset with respect to position. Then calculate the beam diameter and plot as a functionof z. The difference in z for the minimum in θx and θy isthe astigmatic distance of thelaser diode. Use of computer software especially in differentiating the data is highlyrecommended. If the laser junction is not parallel to the table surface then for eachmeasurement above you will obtain an admixture of the two beam divergences andthe measurement will become imprecise. If the laser is oriented at 45° to the surfaceof the table the astigmatic distance will be zero. Different laser structures will have different angular beam divergences and thusdifferent astigmatic distances. If you have access to several different laser types gainguided index guided it may be instructive to characterize their astigmatic distances.1.5.3 Frequency Characteristics of Diode Lasers In order to study frequency characteristics of a diode laser we will employ aMichelson interferometer to convert frequency variations into intensity variations. Anexperimental setup for examining frequency and also amplitude characteristics of alaser source is illustrated in Figure 1.10.1. In this experiment it is very possible that light may be coupled back into the laserthereby destabilizing it. An optical isolator therefore will be required to minimizefeedback into the laser. A simple isolator will be constructed using a polarizing beamsplitter cube and a quarterwave plate. We orient the quarterwave plate such that thelinearly polarized light from the polarizer is incident at 45° to the principal axes of thequarterwave plate so that light emerging from the quarterwave plate is circularlypolarized. Reflections change left-circular polarized light into right-circular or viceversa so that reflected light returning through the quarterwave plate will be linearlypolarized and 90° rotated with respect to the polarizer transmission axis. The polarizerthen greatly attenuates the return beam. In assembling the isolator make sure that the laser junction xz plane in Figure1.1 is parallel to the surface of the table the notch on the laser diode case pointsupward and the beam is collimated by the lens. The laser beam should be parallel tothe surface of the optical table. Set the polarizer and quarterwave λ/4 plate in place.Place a mirror after the λ/4 plate and rotate the λ/4 pl ate so that maximum rejectedsignal is obtained from the rejection port of the polarizing beam splitter cube asshown in Figure 1.11. When this signal is maximized the feedback to the laser shouldbe at a minimum.2. Construct the Michelson interferometer as shown in Figure 1.12. Place the beamsteering assembly BSA-II on the optical table and use the reflected beam from themirror to adjust the quarterwave plate orientation. Set the cube mount CM on theoptical breadboard place a double sided piece of adhesive tape on the mount and putthe nonpolarizing beam splitter cube 05BC16NP.6 on the adhesive tape. Next placethe other beam steering assembly BSA-I and the detector mountM818BB inlocation and adjust the mirrors so that the beams reflected from the two mirrorsoverlap at the detector. When long path length measurements are made the interferometer signal willdecrease or disappear if the laser coherence length is less than the two wayinterferometer path imbalance. If this is the case shorten the interferometer until thesignal reappears. If this does not work then check the laser for single-mode operationby looking for the fringe pattern on a card or by scanning the piezoelectric transducerblock PZBin BSA-II and monitoring the detector output which should be sinusoidalwith PZB scan distance. If the laser does not appear to be operatingsingle-moderealign the isolator and/or change the laser operating point by varying the bias current.Additionally to ensure single-mode operation the laser should be DC biased abovethreshold before applying AC modulation. Overdriving the laser can also force it intomultimode operation.3. The Michelson interferometer has the property that depending on the position of themirrors light may strongly couple back toward the laserinput port. In order to furtherreduce the feed-back slightly tilt the mirrors as illustrated in Figure 1.13. If stillunable to obtain single-mode operation replace the laser diode.4. Place a white card in front of the detector and observe the fringe pattern with theinfrared imager. Slightly adjust the mirrors to obtain the best fringe pattern. Try toobtain one broad fringe.5. Position the detector at the center of the fringe pattern so that it intercepts no morethan a portion of the centered peak.6. By applying a voltage to the piezoelectric transducer block attached to the mirrorpart PZB in one arm of the interferometer i.e. BSA-II maximize the outputintensity. The output should be stable over a time period of a minute or so. If it is notverify that all components are rigidly mounted. If they are then room air currents maybe destabilizing the setup. In this case place a box cardboard will do over the setupto prevent air currents from disturbing the interferometer setup.7. Place the interferometer in quadrature point of maximum sensitivity betweenmaximum and minimum outputs of the interferometer by varying the voltage on thePZB.8. The output signal of the interferometer due to frequency shifting of the laser isgiven by I∝φ 2π/c L ν where L is the difference in path length b etween thetwo arms of the interferometer and ν is the frequency sweep of the laser that isinduced by applying a current modulation. Remember that in a Michelsoninterferometer L is twice the physical difference in length between the arms sincelight traverses this length difference in both directions. L values of 3-20 cmrepresent convenient length differences with the larger L yielding higher outputsignals. Before we apply a current modulation to the laser note that the interferometeroutput signal I should be made larger than the detector or laser noise levels byproper choice of L and current modulation amplitude di. Also recall from Section1.3that when the diode current is modulated so is the laser intensity as well as itsfrequency. We can measure the laser intensity modulation by blocking one arm of theinterferometer. This eliminates interference and enables measurement of the intensitymodulation depth. We then subtract this value from the total interferometer output todetermine the true dI/di due to frequency modulation. Apply a low frequency smallcurrent modulation to the laser diode. Note that when the proper range is beingobserved 1 dv 10 5 mA 1 v diand 1 dI 0.2mA 1 I difor the amplitude change only.RecallingdI d(Δφ)2π Δv c dI ∝ΔL 10 5 mA 1 di di cΔi 2πΔLv diordI ΔL 2Kπ mA 1di λ10 -5where K is a detector response constant determined by varying L.9. With the interferometer and detection system properly adjusted vary the drivefrequency of the laser and obtain the frequency response of the laser Figure 1.4 or1.10a.You will need to record two sets of data: i the modulation depth of theinterferometer output as a function of frequency and ii the laser intensitymodulation depth. The difference of the two sets of collected data will provide anestimate of the actual dI/di due to frequency modulation. Also note that if the currentmodulation is sufficiently small and the path mismatch sufficiently large the laserintensity modulation may be negligible. You may need to actively keep theinterferometer in quadrature by adjusting the PZB voltage. Make any necessary function generator amplitude adjustments to keep thecurrent modulation depth of the laser constant as you vary the frequency. This isbecause the function generator/driver combination may not have a flat frequencyresponse. The effect of this is that the current modulation depth di is not constant andvaries with frequency. So to avoid unnecessary calculations monitor the current.。

led照明毕业论文中英文资料外文翻译文献

led照明毕业论文中英文资料外文翻译文献

led照明毕业论文中英文资料外文翻译文献Renewable and Sustainable Energy ReviewsHigh-brightness LEDs—Energy efficient lighting sources and their potential in indoor plant cultivation ABSTRACTThe rapid development of optoelectronic technology since mid-1980 has significantly enhanced the brightness and efficiency of light-emitting diodes (LEDs). LEDs have long been proposed as a primary light source for space-based plant research chamber or bioregenerative life support systems. The raising cost of energy also makes the use of LEDs in commercial crop culture imminent. With their energy efficiency, LEDs have opened new perspectives for optimizing the energy conversion and the nutrient supply both on and off Earth. The potentials of LED as an effective light source for indoor agriculturalproduction have been explored to a great extent. There are many researches that use LEDs to support plant growth in controlled environments such as plant tissue culture room and growth chamber. This paper provides a brief development history of LEDs and a broad base review on LED applications in indoor plant cultivation since 1990.Contents1. Introduction2. LED development.3. Color ratios and photosynthesis4. LEDs and indoor plant cultivation.4.1. Plant tissue culture and growth4.2. Space agriculture84.3. Algaculture4.4. Plant disease reduction5. Intermittent and photoperiod lighting and energy saving6. Conclusion1. IntroductionWith impacts of climate change, issues such as more frequent and seriousdroughts, floods, and storms as well as pest and diseases are becoming more serious threats to agriculture. These threats along with shortage of food supply make people turn to indoor and urban farming (such as vertical farming) for help. With proper lighting, indoor agriculture eliminates weather-related crop failures due to droughts and floods to provide year-round crop production, which assist in supplying food in cities with surging populations and in areas of severe environmental conditions.The use of light-emitting diodes marks great advancements over existing indoor agricultural lighting. LEDs allow the control of spectral composition and the adjustment of light intensity to simulate the changes of sunlight intensity during the day. They have the ability to produce high light levels with low radiant heat output and maintain useful light output for years. LEDs do not contain electrodes and thus do not burn out like incandescent or fluorescent bulbs that must be periodically replaced. Not to mention that incandescent and fluorescent lamps consume a lot of electrical power while generating heat, which must be dispelled from closed environments such as spaceships and space stations.2. LED developmentLED is a unique type of semiconductor diode. It consists of a chip of semiconductor material doped with impurities to create a p–n junction. Current flows easily from the p-side (anode), to the n-side (cathode), but not in the reverse direction.Electrons and holes flow into the junction from electrodes with different voltages. When an electron meets a hole, it falls into a lower energy level, and releases energy in the form of a photon. The color (wavelength) of the light emitted depends on the band gap energy of the materials forming the p–n junction. The materials used for an LED have a direct band gap with energies corresponding to near-infrared, visible or near-ultraviolet light.The key structure of an LED consists of the die (or light-emitting semiconductor material), a lead frame where the die is placed, and the encapsulation which protects the die (Fig. 1).Fig.1LED development began with infrared and red devices made with gallium arsenide. Advances in materials science have made possible the production of devices with ever-shorter wavelengths, producing light in a variety of colors. J.Margolin reported that the first known light-emitting solid state diode was made in 1907 by H. J. Round. No practical use of Round’s diode was made for several decades until the invention of the first practical LED by Nick Holonyak, Jr in 1962. His LEDs became commercially available inlate 1960s. These GaAsP LEDs combine three primary elements: gallium, arsenic and phosphorus to provide a 655nm red light with brightness levels of approximately 1–10 mcd at 20mA. As the luminous intensity was low, these LEDs were only used in a few applications, primarily as indicators. Following GaAsP, GaP (gallium phosphide) red LEDs were developed. These device sex hibit very high quantum efficiencies at low currents. As LED technology progressed through the 1970s, additional colors and wavelengths became available. The most common materials were GaP green and red, GaAsP orange, and high efficiency red and GaAsP yellow. The trend towards more practical applications (such as in calculators, digital watches, and test equipment) also began to develop. As the LED materials technology became more advanced, the light output was increased, and LEDs became bright enough to be used for illumination.In 1980s a new material, GaAlAs (gallium aluminum arsenide) was developed followed by a rapid growth in the use of LEDs. GaAlAs technology provides superiorperformance over previously available LEDs. The voltage requirement is lower, which results in a total power savings. LEDs could be easily pulsed or multiplexed and thus are suitable for variable message and outdoor signs. Along this development period, LEDs were also designed into bar code scanners, fiber optic data transmission systems, and medicalequipment. During this time, the improvements in crystal growth and optics design allow yellow, green and orange LEDs only a minor improvement in brightness and efficiency. The basic structure of the material remained relatively unchanged.As laser diodes with output in the visible spectrum started to commercialize in late 1980s, LED designers used similar techniques to produce high-brightness and high reliability LEDs. This led to the development of InGaAlP (indium gallium aluminum phosphide) visible light LEDs. Via adjusting the energy band gap InGaAlP material can have different color output. Thus, green, yellow, orange and red LEDs could all be produced using the same basic technology. Also, light output degradation of InGaAlP material is significantly improved.Shuji Nakamura at Nichia Chemical Industries of Japan introduced blue LEDs in 1993. Blue LEDs have always been difficult to manufacture because of their high photon energies (>2.5 eV) and relatively low eye sensitivity. Also, the technology to fabricate these LEDs is very different and less advanced than standard LED materials. But blue is one of the primary colors (the other two being red and green). Properly combining the red, green, and blue light is essential to produce white and full-color. This process requires sophisticated software and hardware design to implement. In addition, the brightness level is low and the overall light output of each RGB die being used degrades at a different rate resulting in an eventual color unbalance. The blue LEDs available today consist of GaN (gallium nitride) and SiC (silicon carbide) construction. The blue LED that becomes available in production quantities has result in an entire generation of new applications that include telecommunications products, automotive applications, traffic control devices, and full-color message boards. Even LED TVs can soon become commercially available.Compare to incandescent light’s 1000-h and fluorescent light’s 8000-h life span, LEDs have a very significantly longer life of 100,000 h. In addition to their long life, LEDs have many advantages over conventional light source. These advantages include small size, specific wavelength, low thermal output, adjustable light intensity and quality, as well as high photoelectric conversion efficiency. Such advantages make LEDs perfect for supporting plant growth in controlled environment such as plant tissue culture room and growth chamber. Table 1 is a list of some common types of LEDs as compiled from .The chlorophyll molecules in plants initiate photosynthesis bycapturing light energy and converting it into chemical energy to help transforming water and carbon dioxide into the primary nutrient for living beings. The generalized equation for the photosynthetic process is given as:CO2 + H2O—light—>(CH2O)+ O2where (CH2O) is the chemical energy building block for thesynthesis of plant components.Chlorophyll molecules absorb blue and red wavelengths most efficiently. The green and yellow wavelengths are reflected or transmitted and thus are not as important in the photosyntheticprocess. That means limit the amount of color given to the plants and still have them grow as well as with white light. So, there is no need to devote energy to green light when energy costs are aconcern, which is usually the case in space travel.The LEDs enable researchers to eliminate other wavelengths found within normal white light, thus reducing the amount of energy required to power the plant growth lamps. The plants grow normally and taste the same as those raised in white light.Red and blue light best drive photosynthetic metabolism. These light qualities are particularly efficient in improving the developmental characteristics associated with autotrophic growth habits. Nevertheless, photosynthetically inefficient light qualities also convey important environmental information to a developing plant. For example, far-red light reverses the effect of phytochromes, leading to changes in gene expression, plant architecture, and reproductive responses. In addition, photoperiod (the adjustment of light and dark periods) and light quality (the adjustment of red, blue and far-red light ratio) also have decisive impacts on photomorphogenesis.The superimposed pattern of luminescence spectrum of blue LED (450–470 nm) and that of red LED (650–665 nm) corresponds well to light absorption spectrum of carotenoids and chlorophyll. Various plant cultivation experiments are possible when these twokinds of LED are used with the addition of far-red radiation (730–735 nm) as the light source. Along the line of the LED technology advancement, LEDs become a prominent light source for intensive plant culture systems and photobiological researches. The cultivation experiments which use such light sources are becoming increasingly active. Plant physiology and plant cultivation researches using LEDs started to peak in 1990s and become inevitable in the new millennium. Those researches have confirmed that LEDs are suitable for cultivation of a variety of algae,crop, flower, fruit, and vegetable.Some of the pioneering researches are reviewed in the followings.Bula et al. have shown that growing lettuce with red LEDs in combination with blue tubular fluorescent lamp (TFL) is possible. Hoenecke et al. have verified the necessity of blue photons for lettuce seedlings production by using red LEDs with blue TFL. As the price of both blue and red LEDs have dropped and the brightness increased significantly, the research findings have been able to be applied in commercial production. As reported by Agence France Press, Cosmo Plant Co., in Fukuroi, Japan has developed a red LED-based growth process that uses only 60% of electricity than a fluorescent lighting based one.Tennessen et al. have compared photosynthesis from leaves of kudzu (Pueraria lobata) enclosed in a leaf chamber illuminated by LEDs versus by a xenon arc lamp. The responses of photosynthesis to CO2 are similar under the LED and xenon arc lamps at equal photosynthetic irradiance. There is no statistical significant difference between the white light and red light measurements in high CO2. Some leaves exhibited feedback inhibition of photosynthesis which is equally evident under irradiation of either lamp type. The results suggest that photosynthesis research including electron transport, carbon metabolismand trace gas emission studies should benefit greatly from the increased reliability, repeatability and portability of a photosynthesis lamp based on LEDs.Okamoto et al. have investigated the effects of different ratios of red and blue (red/blue) photosynthetic photon flux density (PPFD) levels on the growth and morphogenesis of lettuce seedlings. They have found that the lettuce stem length decreases significantly with an increase in the blue PPFD. The research has also identified the respective PPFD ratio that (1) accelerates lettuce seedlings’stem elongation, (2) maximizes the whole plant dry weight, (3) accelerates the growth of whole plants, and (4) maximizes the dry weights of roots and stems. Photosynthesis does not need to take place in continuous light. The solid state nature allows LEDs to produce sufficient photon fluxes and can be turned fully on and off rapidly (200 ns), which is not easily achievable with other light sources. This rapid on–off feature has made LEDs an excellent light source for photosynthesis research such as pulsed lighting for the study of photosynthetic electron transport details. The off/dark period means additional energy saving on top of the LEDs’low power consumption.4. LEDs and indoor plant cultivation4.1. Plant tissue culture and growthTissue culture (TC), used widely in plant science and a number of commercial applications, is the growth of plant tissues or cells within a controlled environment, an ideal growth environment that is free from the contamination of microorganisms and other contaminants. A controlled environment for PTC usually means filtered air, steady temperature, stable light sources, and specially formulated growth media (such as broth or agar). Micropropagation, a form of plant tissue culture (PTC), is used widely in forestry and floriculture. It is also used for conserving rare or endangered plant species. Other uses of PTC include:1short-term testing of genetic constructions or regeneration oftrans genic plants,2 cross breeding distantly related species and regeneration of the novel hybrid,3 screening cells for advantageous characters (e.g. herbicidere sistance/tolerance),4embryo rescue (i.e. to cross-pollinate distantly related specie sand then tissue culture there sulting embryo which would normally die),5 large-scale growth of plant cells in liquid culture inside bioreactors as a source of secondary products (like recombinant proteins used as biopharmaceuticals).6production of doubled monoploid plants from haploid cultures to achieve homozygous lines more rapidly in breeding programs (usually by treatment with colchicine which causes doubling of the chromosome number).Tissue culture and growth room industries have long been using artificial light sources for production. These light sources include TFL, high pressure sodium lamp (HPS), metal halide lamp (MHL) and incandescent lamp, etc. Among them, TFL has been the most popular in tissue culture and growth room industries. However, the use of TFL consumes 65% of the total electricity in a tissue culture lab. That is the highest non-labor costs. As a result, these industries continuously seek for more efficient light sources. The development of high-brightness LED has made LED a promising light source for plant growth in controlled environments.Nhut et al. have cultured strawberry plantlets under different blue to red LED ratios as well as irradiation levels and compared its growth to that under plant growth fluorescent. The results suggest that a culture system using LED is advantageous for the micropropagation of strawberry plantlets. The study also demonstrates that the LED light source for in vitro culture of plantlets contributes to an improved growth of the plants in acclimatization.Brown et al. have measured the growth and dry matter partitioning of ‘Hungarian Wax’pepper (Capsicum annuum L.) plants grown under red LEDs compared with similar plants grown under red LEDs with supplemental blue or far-red radiation. Pepper biomass reduces when grown under red LEDs without blue wavelengths compared to plants grown under supplemental blue fluorescent lamps. The addition of far-red radiation results in taller plants with greater stem mass than red LEDs alone. Fewer leaves developed under red or red plus far-red radiation than with lamps producing blue wavelengths. The results of their research indicate that with proper combination of other wavelengths, red LEDs may be suitable for the culture of plants in tightly controlled environments.4.2. Space agricultureBecause re-supply is not an option, plants are the only options to generate enough food, water and oxygen to help make future explorers self-sufficient at space colonies on the moon, Mars or beyond. In order to use plants, there must be a light source. Standard light sources that used in homes and in greenhouses and in growth chambers for controlled agriculture here on Earth are not efficient enough for space travel. While a human expedition outside Earth orbit still might be years away, the space farming efforts are aimed at developing promising artificial light sources. LEDs, because of their safety, small mass and volume, wavelength specificity, and longevity, have long been proposed as a primary light source for space-base plant research chamber or bioregenerative life support systems .Infrared LEDs that are used in remote controls devices have other uses. Johnson et al. have irradiated oat (Avena sativa cv Seger) seedlings with infrared (IR) LED radiation passed through a visible-light-blocking filter. The irradiated seedlings exhibited differences in growth and gravitropic response when compared to seedlings grown in darkness at the same temperature. This suggests that the oat seedlings are able to detect IR LED radiation. These findings also expand the defined range of wavelengths involved in radiation–gravity (light–gravity) interactions to include wavelengths in the IR region of the spectrum.Goins et al. grow wheat under red LEDs and compare them to the wheat grown under (1) white fluorescent lamps and (2) red LEDs supplemented with blue light from blue fluorescent lamps. The results show that wheat grown under red LEDs alone displayed fewer subtillers and a lower seed yield compared to those grown under white light. Wheat grown under red LEDs + 10% BF light had comparable shoot dry matter accumulation and seed yield relative to those grown under white light. These results indicate that wheat can complete its life cycle under red LEDs alone, but larger plants and greater amounts of seed are produced in the presence of red LEDs supplemented with a quantity of blue light.The research of Goins and his team continues in plant growth chambers the size of walk-in refrigerators with blue and red LEDs to grow salad plants such as lettuce and radishes. They hope the plant growth chamber would enable space station staff to grow and harvest salad greens, herbs and vegetables during typical fourmonth tours on the outpost .4.3. AlgacultureAlgaculture, refers to the farming of species of algae, has been a great source for feedstock, bioplastics, pharmaceuticals, algae fuel, pollution control, as well as dyes and colorants. Algaculture also provides hopeful future food sources.Algae can be grown in a photobioreactor (PBR), a bioreactor which incorporates some type of light source. A PBR is a closed system, as opposed to an open tank or pond. All essential nutrients must be introduced into the system to allow algae to grow and be cultivated. A PBR extends the growing season and allows growing more species. The device also allows the chosen species to stay dominant. A PBR can either be operated in ‘‘batch mode’’or ‘‘continuous mode’’in which a continuous stream of sterilized water that contains air, nutrients, and carbon dioxide is introduced. As the algae grows, excess culture overflows and is harvested.When the algae grow and multiply, they become so dense that they block light from reaching deeper into the water. As a result, light only penetrates the top 7–10 cm of the water in most algalcultivation systems. Algae only need about 1/10 the amount of direct sunlight. So, direct sunlight is often too strong for algae. A means of supplying light to algae at the right concentration is to place the light source in the system directly.Matthijs et al. have used LEDs as the sole light source in continuous culture of the green alga (Chlorella pyrenoidosa). The research found the light output of the LED panel in continuous operation sufficient to support maximal growth. Flash operation at 5-ps pulse ‘‘on’’ duration between dark periods of up to 45 ps would stillsustain near maximum growth. While longer dark periods tend to cut the growth rate, the light flux decrease resulting from such operation does not reduce the growth as much as that of the similar flux decrease in continuous operation. Their research concludes that the use of flashing LEDs (which means intermittent light) in indoor algal culture yielded a major gain in energy economy comparing to fluorescent light sources. An additional advantage is that heat waste losses are much smaller. The most interesting discovery of this study may be that adding blue light to the red LED light did not change the growth properties.In order to take advantage of the biotechnological potential of algae, Lee and Palsson have calculated theoretical values of gas mass transfer requirements and light intensity requirements to support high-density algal cultures for the 680 nm monochromatic red light from LED as a light source. They have also designed a prototype PBR based on these calculations. Using on-line ultra filtration to periodically provide fresh medium, these researchers have achieved a cell concentration of more than 2×109cells/ml (more than 6.6%, vol/vol), cell doubling times as low as 12 h, and an oxygen production rate as high as 10 mmol oxygen/l culture/h. This research indicates that the development of a small LED-based algal photobioreactors is economically achievable.Another research of algae via LEDs is conducted by Nedbal et al. Their research is a study of light fluctuation effects on a variety of algae in dilute cultures using arrays of red LEDs to provide intermittent and equivalent continuous light in small-size (30 ml) bioreactors. The results endorse that the algae growth rates in certain calculated intermittent light can be higher than the growth rate in the equivalent continuous light. Yanagi and Okamoto has grown five spinach plants under the red LEDs and another five under 40W plant growth fluorescent lamps at the same light intensity of 125 mmol/m2/s. The dry matter production under the LEDs is slightly less than that under the fluorescent lamps. The plant leaf area under the red LEDs is also smaller than that under the fluorescent lamps. Nevertheless, they reach a conclusion that LEDs can qualify as an artificial light source for plant growth.4.4.Plant disease reductionSchuerger and Brown have used LED arrays with different spectral qualities to determine the effects of light on the development of tomato mosaic virus (ToMV) in peppers and powdery mildew on cucumbers. Their research concludes that spectral quality may alter plant disease development. Latter research regarding bacterial wilt on tomato has confirmed this conclusion and demonstrates that spectral quality may be useful as a component of an integrated pest management program for space-based ecological life support systems. Schuerger et al. have shown that the spectral quality effects on peppers’ anatomical changes in stem and leaf tissues are corr elated to the amount of blue light in primary light source.Miyashita et al. use red LEDs (peak wavelength: 660 nm) and white fluorescent lamps as light sources for potato plantlets growth in vitro. They found that shoot length and chlorophyll concentration of the plantlets increases with increasing 630–690 nm red photon flux (R-PF) while there are no significant differences in dry weight and leaf area of the plantlets with different R-PF levels. This means red lightaffects the morphology rather than the growth rate of potato plantlets in vitro. As a result, they suggest that red LEDs can be used for controlling plantlet morphology in micropropagation.5. Intermittent and photoperiod lighting and energy savingTime constants for photosynthetic processes can be divided into three ranges: primary photochemistry, electron shuttling, and carbon metabolism. These three photosynthetic processes can be uncoupled by providing pulses of light within the appropriate range for each process. At high frequencies, pulsing light treatments can be used to separate the light reactions (light harvesting and charge separation) from the dark reactions (electron shuttling) of photosynthetic electron transport. LEDs’ flexible pulsating ability can be coupled with such characteristics of photosynthesis and lead to additional energy saving.Tennessen et al. use LEDs to study the effects of light pulses (micro- to milli-second) of intact tomato leaves. They found that when the equivalent of 50 mmol photons mp -2s-1 is provided during 1.5 ms pulses of 5000 mmol photons mp -2s-1 followed by 148.5 ms dark periods, photosynthesis is the same as in continuous 50 mmol photons mp -2s-1 . Data support the theory that photons in pulses of 100 ps or shorter are absorbed and stored in the reaction centers to be used in electron transport during the dark period. Pigments of the xanthophyll cycle were not affected by pulsed light treatments. This research suggests that, instead of continuous light, using effectively calculated intermittent light (which means less energy consumption) might not affect the plant production.Jao and Fang have investigated the effects of intermittent light on growth of potato plantlets in vitro. They also use conventional TFLs for the experiment to explore the electrical savings realized by adjusting the frequency and duty ratio of LEDs. TFLs provide continuous fluctuating light at 60 Hz while LEDs provide nonfluctuating light and pulse light of the preset frequency and duty ratio. When the growth rate is the only concern, LEDs at 720 Hz (1.4 ms) and 50% duty ratio with 16-h light/8-h dark photoperiod stimulated plant growth the most. When energy consumption is the major concern, using LEDs at 180 Hz (5.5 ms) and 50% duty ratio with 16-h light/8-h dark photoperiod would not significantly sacrifice plant growth, especially when energy for heat removal is also taken into account.6. ConclusionsThe first sustained work with LEDs as a source of plant lighting occurred in the mid-1980s when a lighting system for plant growth was designed for space shuttles and space stations for it is realized that people cannot go to the Moon, Mars, or beyond without first mastering the art of indoor farming on Earth. As the performance of LED continues to improve, these lighting systems progress from red only LED arrays using the limited components available to high-density, multi-color LED chip-on-board technologies. Today, space age gardeners who have been testing high-efficiency light sources for future space colonists have identified energy efficient LEDs as the major light source not only to grow food but also to generate and purify oxygen and water—key sustainers of human life. The removal of carbon dioxide from a closed environment is another added benefit.LEDs are the first light source to provide the capability of true spectral composition control, allowing wavelengths to match to plant photoreceptors to optimize production as well as to influence plant morphology and composition. They are easily integrated into digital control systems, facilitating complex lighting programs like varying spectral composition over the course of a photoperiod or with plant development stage. LEDs do not contain mercury. They are safer to operate than current lamps since they do not have glass envelopes or high touch temperatures.While the process of photosynthesis does not require continuous light of full spectrum, LEDs can produce sufficient photon fluxes of specific wavelength on and off rapidly. Such mechanism of photosynthesis coupled with the solid state characteristics of LEDs constitute two ways of energy saving (cutting out unnecessary spectrum segment and turning off the light periodically) on top of the LEDs’ low power consumption. These are not easily achievable with other light sources.This paper provides a broad base review on LED applications in horticulture industry since 1990. These researches pave the way for the researches of similar types using different species and lead to comparable conclusion that LEDs are well qualified to replace its more energy demanding counterparts as controlled environment light source for agricultural research such as providing tissue culture lighting as well as supplemental and photoperiod lighting for greenhouses.With the energy it can save, LED’s becoming ec onomically feasible in large-scale indoor farming lighting applications is just around the corner.再生可持续能源评论高亮高效节能LED灯的来源及其在室内植物栽培中的潜力摘要自1980年中期以来,光电子技术的迅猛发展,显著调高了发光二极管(LED)的亮度和效率。

DRO设计

DRO设计

南京理工大学毕业设计(论文)外文资料翻译学院(系):电子工程与光电技术学院专业:通信工程姓名:顾江川学号: 0504220129外文出处:Exclusive Agents for NEC RF, Microwave and (用外文写)Optoelectronic semiconductor products inthe U.S. and Canada附件: 1.外文资料翻译译文;2.外文原文。

数字通信系统中Ku波段介质谐振振荡器的设计考虑翻译:顾江川学号:0504220129一.摘要本应用指南将叙述介质谐振振荡器设计者选择建立在性能要求基础上的拓扑结构和设备的过程,DROs因为他们的高效,低噪声和良好的输出功率和高温度稳定性而成为有吸引力的微波源。

他们相对于其他信号源(如腔振荡器,微带振荡器或乘以晶体振荡器)来说有一个低廉的成本,恰当的大小和稳定的工作状态。

用数字直接广播系统(分布式数据库系统)中本地Ku波段低噪声振荡器模块的应用作为一个实例,本文将演示主频在11.25Ghz条件下NEC的一款新的使用超低价塑料封装MESFETs的介质振荡器。

所需要的系统规格和设计演示将详细介绍,文章随后讨论如何选择一个适当的设备以及如何确定包含在非线性模型中的相位噪声参数。

重点审查基本DRO 的拓扑结构和反射型振荡器,本文所得的线性和非线性模拟使用了HP - EEsof系列四准确地预测DRO中的表现。

我们也考虑了测量结果和实际的“板凳优化”方法。

最后,至关重要的是设计师商业产品的成本,DRO部分和硬件部分的成本子那个和也会统计出来。

它仅采用了可应用于高频通信系统的几个的介质振荡器的设计技术,它可能不会作为被最优化的设计解决方案而被所有银行采用。

二.说明在分布式数据库系统的系统应用中, DRO中必须表现出低相位噪声,以满足数字调制方案和误码率( BER )的要求。

它还必须有最低限度的频率漂移温度保持接收机锁定到选定的通道,并应提供足够的输出功率,以直接驱动混频器降(通常是二极管环或积极砷化镓场效应管混频器)。

b09010213欧阳青外文文献

b09010213欧阳青外文文献

毕业设计(论文)外文资料翻译系别:光电信息系专业:测控技术与仪器班级:b90102姓名:欧阳青学号:b09010213外文出处:Science and Information Application Technology附件:1. 原文;2. 译文2013年3月Research of Frequency Divider Based on ProgrammableLogic DeviceSilesian University of Technology Institute Gliwice, Poland AbstractThe design method of general integer and half-integer frequency divider circuits is introduced in this paper. It applies the module and parameterized idea, which can be realized under the CPLD developing platform. According to modifying the specific parameters, it can be applied to the different occasions. The experiment simulation and the result of the apparatus' testing all get good effect. The generality of this design is good. It can be used into the designs of diverse digital circuit systems. keywords: programmable logic levice; VHDL; half-integer frequency divider; integer frequency dividerKeywords:programmable logic levice;VHDL;half-integer frequency divider;Integer frequency divider.1. IntroductionIn CPLD design, in order to give more generality to design production, parameterized design approach is often adopted during design【1】. What is called parameterization is to design some parameters as variables, in this way we can assign these parameters a value based on need and meet needs of different occasions【2】. By the help of parameterized design philosophy, VHDL hardware description language, schematic diagram input method, and through MAXPLUS II development platform, we use Altera's CPLD device to design and implement a quite general half-integer and integer frequency dividers【3】.2. basic principle of frequency dividerIn design of digital circuit, clock pulse of all frequencies is often indispensable. If we have to design an oscillating circuit or install a crystal oscillator for every clock pulse, it will both the complicacy and cost of the circuit. So, in practical circuit design, ready-made clock source is often used to obtain desired frequency【4】. Implementing integer frequency division is quite simple, which is often made of counters or counter cascade connection. But implementing half-integer frequency division is more difficult, in this paper the basic design idea is :designing a modulus N counter and a pulse deducting circuit which deduct a Supported by Chongqing Municipal Natural Science Foundation (CSTC, 2006BB2408)) 1878-0296 . 2011 Published by Elsevier Ltd. Selection and/or peer-review under responsibility of Conference ESIAT2011 Organization Committee. doi: Liangling pulse for every N-1 pulse coming, in thisway we can get frequency divider of N-0.5. Based on the principle of frequency divider, we set a gated parameter "SEL" for XOR gate and implement the half-integer or integer frequency division of the circuit by controlling the status of XOR gate and counter, which is as shown in figure 1. When "SEL"=1, it carry out half-integer frequency division, and f outclk =1*f inclk/(N-0.5) ; When "SEL"=0, it carry out integer frequency division, and f outclk=1*f inclk/N . Therefore in this program, by just changing values of parameter "N" and "SEL" we can realize different kinds of integer and half-integer frequency dividers. Once N's value is set fixed, it can carry out N or N-0.5 frequency division. But the status of "SEL" determines which kind of frequency division it is: when "SEL"=0, it's N ; when "SEL"=1, it's N-0.5. The range of values of "N" is 1-255, so it can implement from 1.5, 2, 2.5……254.5, 255 which are totally 508 kinds of frequency division circuit. If we increase the count width of modulus N counter, we may get more kinds of frequency division circuit that the total number can double for every digit added. But certainly, bigger count digit capacity means more resource and expense, so it's not recommended to increase digit capacity blindly【5】.Fig.1 The schematic diagram of integer and half-integer frequency dividers3. Unit Module and Top Layer DesignIt can be learnt from the schematic diagram, this design need three modules: XOR gate control circuit, modulus N counter and 2 frequency dividing circuit. Three modules connecting together according to some patterns are half-integer and integer frequency dividers. In this design, XOR gate control circuit and modulus N counter adopt VHDL hardware description language input mode; 2 frequency dividing circuit adopts very common D trigger based on 2 frequency dividing circuit; top layer design adopts schematic diagram input method【6】.4. XOR gate control circuitThe following is the VHDL program design of XOR gate control circuit. SEL is parameterized, whenit=0, c=a⊕b , and circuit implement half-integer frequency division; When it=1, c=b, and circuit implement integer frequency division. Source program is as follow【7】: library ieee;use ieee.std_logic_1164.all;entity half_integer_select isgeneric ( SEL: integer:=1 ); -- the value of sel may be 0 or 1port( a :in std_logic;b :in std_logic;c :out std_logic );end half_integer_select;architecture behave of half_integer_select isbeginprocessbeginif(sel=1) then c<=(a xor b);else c<=b;end if;end process;end behave;5. Modulus N counter circuitModulus N Counter consists of three inputs which are reset terminal "reset", counter enable terminal "en", clock terminal "clk", and an output which is "count_msb". Output "count_msb" is the most significant digit of N counter output signal "count", it equals to certain digit of "count" according to N's value. Source program is as follow: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity counter_n isgeneric ( N: integer:=12 ); -- the value of N may be integer which is from 2 to 256 port(clk,reset,en:in std_logic; count_msb : out std_logic );end counter_n;architecture behavior of counter_n issignal count:std_logic_vector(7 downto 0);begincounter:process(reset,clk)beginif reset='1' thencount<=(others=>'0');else if(clk 'event and clk='1')thenif(en='1')thenif(count=conv_std_logic_vector((n-1),8))thencount<=(others=>'0');elsecount<=count+1;end if;end if;end if;end if;end process counter;msb_select:processbeginif(n<=4) then count_msb<=count(1);elsif(n<=8) then count_msb<=count(2);elsif(n<=16) then count_msb<=count(3);elsif(n<=32) then count_msb<=count(4);elsif(n<=64) then count_msb<=count(5);elsif(n<=128) then count_msb<=count(6);elsif(n<=256) then count_msb<=count(7);end if;end process msb_select;end behavior;In this program N=12, and its simulation waveform is as shown in figure 2. So we can see the count range of "count" is 0-11 which meet the needs of decimal-binary counter and output "count_msb" is count(3)which is the most significant digit of count. Different simulation waveform can be obtained by changing the value of "N", for example: if "N"=17,then the count range of "count" is 0-16, "count_msb" is count(4)which is the most significant digit of count.Fig.2 The simulation waveform of modulus N counter6. Top layer designAccording to schematic diagram's connection relationship, we use graphic input method to connect each module which is as shown in figure 3. In this design, parameter "N" and "SEL" equal to 12 and 1 respectively to actualize half-integer frequency division of 11.5. As shown in figure 4, "outclk" is 11.5 frequency division of "inclk", "outclk_1" is 23 frequency division of "inclk"; If N remain constant when parameters are assigned values, that N=12, then letting SEL=0 can bring us integer frequency division of 12. As shown in figure 5, "outclk" is 12 frequency division of "inclk", "outclk_1" is 24 frequency division of "inclk". Thus the frequency relationship of input and output can be adjusted by changing internal parameter "N" and "SEL" and then achieve frequency division of 1.5-255 easily【8】.Fig.3 The design chart of top layerFig.4 The simulation waveform of half-integer (11.5) frequency divisionFig.5The simulation waveform of integer (12) frequency division7. ConclusionEPF10K10LC84-3 of FLEX series produced by ALTERA has a working frequency of 85.5MHZ, "inclk" is 13.6ns ahead of "outclk", "inclk" has delay of 15.9ns behind "outclk_1". Assuming inclk is 6M, then outclk yield 6M*HZ/N, 6M*HZ/(N-)0.5 (N=2, 3——255) more than 508 clock frequencies through frequency division, the lowest frequency can reach 23.5KHZ. On the other hand,"outclk_1" is the two frequency division of "outclk", that the lowest frequency reaches 11.75KHZ. Only changing values of parameter "N" and "SEL" we can have integer and half-integer frequency dividers, so it's very convenient to use this method.References[1] Tong Yu, Shexiang Ma and Kun Guo, “Design of the equal duty ratio arbitrary integer frequency divider based on FPGA”,Journal of Tianjin University of Technology, vol24, 2008, p.86[2] Xiaohong Yu, Qin gxin Cao and Tao Yang, “Design and precision analysis of dual-modules frequency divider with regulable duty ratio”, Application of Electronic Technique, vol8,2009, p.49[3] Rong Wang and Weimin Li, “The design of the Half-integer Frequency Divider Based o n CPLD/FPGA”, MicrocomputerInformation, vol26,2010, p.131[4] Fan Gao and Leilei Jia, “The Design of the Half Integer Frequency Divider Based on FPGA”.. Science & TechnologyInformation, V ol19,2010, p.133[5] Peijun Gao, “Design and realization of var ious frequency dividers based on FPGA”, Electronic Products, vol 5, 2004, p.32-34.[6] Junying Tang, EDA Technology Example Tutorial, Chengdu: Electronic Industry Press,2008[7] Xuesong Jiang and Dongsheng Liu, hardware description language VHDL course, xi’an: xi'an jiao tong university press,2004[8] Song Pan and Jiye Huang, Practical tutorial based on EDA technology, Beijing: Sciences Press, 2002.基于可编程逻辑器件分频器研究西里西亚大学技术学院格利维采,波兰摘要本文介绍了一般整数和半整数分频电路的设计方法。

毕业论文外文翻译报告范文

毕业论文外文翻译报告范文

毕业论文外文翻译报告范文AbstractThis report presents a translation of an academic article titled "The Impact of Technology on Education." The article discusses the various ways in which technology has transformed the field of education, particularly in terms of teaching methods, student engagement, and access to educational resources. The translation aims to accurately convey the content and meaning of the original article, while ensuring clarity and coherence for the readers.IntroductionTechnology has revolutionized nearly every aspect of our lives, including the field of education. In recent years, there has been a significant increase in the use of technology in classrooms and educational institutions worldwide. This article explores the impact of technology on education, highlighting its benefits and potential challenges.Teaching MethodsOne of the key effects of technology on education is the transformation of traditional teaching methods. With the introduction of interactive whiteboards, online learning platforms, and educational apps, teachers now have access to a wide range of tools and resources to enhance their teaching. These technologies enable teachers to create dynamic and engaging lessons, integrating multimedia content and interactive activities, which enhance student understanding and participation.Student EngagementTechnology has also had a profound impact on student engagement in the learning process. With the use of digital tools, students can now actively participate in their education and take ownership of their learning. Interactive quizzes, online discussions, and collaborative projects allow students to actively engage with the subject matter, promoting critical thinking and problem-solving skills. Moreover, technology enables personalized learning experiences, catering to individual student needs and preferences.Access to Educational ResourcesAnother significant benefit of technology in education is the increased access to educational resources. Online libraries, open educational resources, and digital textbooks provide students with a vast amount of information at their fingertips. This access to a wide range of resources goes beyond what traditional textbooks and classrooms can offer, empowering students to explore and learn at their own pace.Challenges and ConsiderationsWhile the impact of technology on education is largely positive, there are also some challenges and considerations that need to be addressed. One concern is the potential for technology to create a divide between students who have access to technology and those who do not. It is essential to ensure equitable access to technology and training for all students to prevent further disparities in education.Additionally, the integration of technology in the classroom requires teachers to adapt and acquire new technological skills. Adequate training and support must be provided to empower teachers to effectively incorporate technology into their teaching practices.ConclusionIn conclusion, technology has had a transformative impact on education. It has revolutionized teaching methods, enhanced student engagement, and provided increased access to educational resources. However, it is important to address the challenges and considerations that arise with the integration of technology in education. By doing so, we can ensure that technology continues to benefit and enhance the learning experience for all students.References:[Original Article Reference]。

光电编码外文文献1

光电编码外文文献1
2. M u l t i t u r n absolute encoder
loops, and each loop has 49, 51 and 52 propagation patterns, respectively. Specific bit patterns ( “1” means that a magnetic bubble exists and “0” means that no magnetic bubble exists) are written in these loops following the principle of a ‘memory wheel’. The rotation of the shaft generates revolution of the magnetic field parallel t o the garnet film. Then, the bit patterns are transferred bit by bit in both directions along the propagation patterns according to the rotation of the magnetic field. Fig.3 shows the transference of a magnetic bubble. Bias magnet
5 i < k - 1)
(2)
Here, m is an integer determined by j, 1 and the characteristic polynomial of the M-sequence. An example of the relation between j , l and m is shown in TaLie l . Here, the characteristic polynomial of the hi-sequence is

光电信息工程外文文献翻译

光电信息工程外文文献翻译

光电信息工程外文文献翻译(含:英文原文及中文译文)文献出处:N.M. Liao, W. Li, Y.D. Jiang, et al. Effects of gas temperature on optical and transport properties of a-Si:H films deposited by PECVD[J]. Philosophical Magazine, 2008, 88(25):3051-3057.英文原文中文译文气体温度通过PECVD 沉积对Si :H 薄膜的结构和光电性能的影响N.M. Liao ,W. Li ,Y.D. Jiang ,Z.M. Wu ,K.C. Qi摘要气体温度的影响(TG )在等离子体增强化学气相沉积法(PECVD )生长的薄膜的结构和光电特性:H 薄膜已使用多种表征技术研究。

气体的温度被确定为制备工艺的优化、结构和光电薄膜的性能改进的一个重要参数。

薄膜的结构性能进行了研究使用原子力显微镜(AFM ) ,傅立叶变换红外光谱(FTIR ) ,拉曼光谱,和电子自旋共振(ESR ) 。

此外,光谱椭偏仪(SE ) ,在紫外线–可见光区域的光传输的测量和电气测量被用来研究的薄膜的光学和电学性能。

它被发现在Tg 的变化可以修改的表面粗糙度,非晶网络秩序,氢键模式和薄膜的密度,并最终提高光学和电学性能。

1. 引言等离子体增强化学气相沉积法(PECVD )是氢化非晶硅薄膜制备一种技术,具有广泛的实际应用的重要材料。

它是用于太阳能电池生产,在夜视系统红外探测器,和薄膜晶体管的平板显示装置。

所有这些应用都是基于其良好的电气和光学特性以及与半导体技术兼容。

然而,根据a-Si 的性质, PECVD 制备H 薄膜需要敏感的沉积条件,如衬底温度,功率密度,气体流量和压力。

许多努力已经花在制备高品质的薄膜具有较低的缺陷密度和较高的结构稳定性的H 薄膜。

众所周知,衬底温度的强烈影响的自由基扩散的生长表面上,从而导致这些自由基更容易定位在最佳生长区。

赵亚威毕业设计(论文)外文翻译

赵亚威毕业设计(论文)外文翻译

宁波大红鹰学院毕业设计(论文)外文翻译所在学院:机电学院班级: 09机自6班姓名:赵亚威学号: 091280742指导教师:张育斌合作导师:2012年 11 月 15 日The realization of wireless sensor networks and applications inagriculture1 IntroductionWireless sensor networks (Wireless Sensor Network, WSN) are deployed in the monitoring area by the large number of low-cost micro sensor nodes, wireless communication through the formation of a multi-hop network self-organization. The aim is to perceive collaboration, collection and processing of network coverage in the perception of objects, and send observers. "Sensors, sensing object and the observer," constitute the three elements of the network. Here that the sensor is not in the traditional sense of the simple perception of physical signals and the sensor into a digital signal, which is the sensor module, data processing module and wireless communication module integrated in a small physical unit, that is , enhanced features than many traditional sensors can not only be aware of environmental information, but also data processing and wireless communication capabilities. With the built-in sensor nodes in a variety of sensors, where the environment can measure heat, infrared, sonar, radar and seismic signals and other signals to detect temperature, humidity, noise, light intensity, pressure, soil composition, moving object the size, speed and direction, and so many physical phenomena of interest to us. Wireless sensor network is a new mode of information acquisition and information processing. Because of the shortage of water resources are at a considerable degree, plus 90% of the waste, untreated sewage or handling standards for direct discharge of water pollution, water quality is lower than 11% of agricultural water supply standards. Water is the lifeblood of agriculture, is the controlling element of the ecological environment, but it is also strategic economic resources, extraction of groundwater by pump irrigation of farmland, rational use of water resources, development of water supply, improve the ecological environment in China is currently accurate Agriculture key, so use of irrigation water and energy supply in today's world is the general trend of technological development.2 Overview of wireless sensor networks2.1 The system architecture of wireless sensor networksWireless sensor network system architecture shown in Figure 1, typically include sensor nodes, aggregation nodes and management nodes. Clouds in the observation area sensor nodes in order to constitute a network of self-organized manner. Sensor node processing the collected information, the way to multi-hop relay the information transmitted to the sink node. Then through the Internet or mobile communicationnetwork and other channels to reach management node. End-users through the management of wireless sensor network node management and configuration, release monitoring tasks or collect return data.2.2 The characteristics of wireless sensor networks(1) self-organization. As the network and the network itself, the physical environment in which the unpredictability of factors, such as: can not pre-set exact location of the node can not know in advance the relationship between the nodes adjacent to some nodes because of energy depletion or other causes of death, newnodes join and so on, make the network deployment and expansion without the need to rely on any of the default network infrastructure, layered protocol between nodes and distributed algorithms by coordinating their behavior, a node can quickly start automatically after the formation of a separatemulti-hop routing network.(2) multi-hop routing.Limited communication distance of nodes in the network, the node can only communicate directly with its neighbors, if beyond the range of its RF communication nodes, you need to be routed through intermediate nodes.(3) the spatial distribution of a large area, node density, the number is huge.(4) data-center.In wireless sensor networks, people usually only care about a region within a certain numerical observations, and not to the specific observations concerned a single node.(5) node capacity constraints.The energy of sensor nodes, processing power, storage capacity and communication ability and so is very limited.①power energy restricted.As the miniaturization of sensor nodes, node battery power is limited, and because physical constraints make it difficult for nodes to replace the battery, so battery power limitations of sensor nodes is the wireless sensor network design one of the most critical constraints, which directly determines the network's worklife.② computing and storage capacity is limited.Bring low-cost micro sensor nodes weak processor, memory capacity of small features, so it can not perform complex calculations, and the traditional Internet network protocols and algorithms on the relative maturity of wireless sensor networks, too costly, difficult to use,must therefore be simple, effective protocols and algorithms, such as the ZigBee protocol.③communication is limited.Typically, the energy consumption of wireless communication and communication distance d E the relation: E = kdn.Where 2 <n <4.N is usually taken as 3.2.3 The shortcomings of wireless sensor networks(1) energy is limited. Sensor nodes are usually powered by ordinary batteries or lithium batteries, the energy limited.In unattended environments, wireless sensor network applications is one of the bottlenecks.(2) node cost is higher. Currently on the market price in thousands of sensor nodes over the wireless sensor network nodes need many, limiting promote the use of wireless sensor networks.(3) The poor security. As a result of wireless channel, distributed control technology, the network more vulnerable to passive eavesdropping, active intrusion and other attacks.(4) collaboration. Individual sensor nodes are often unable to complete the target of measurement, tracking and recognition, while the number of sensor nodes need to exchange information through the algorithm on data obtained for processing, aggregation and filtering, the final result.3 the current wireless sensor network applications in agricultureView of the water shortage and the demand for farmland irrigation, irrigation equipment under the existing conditions of application fields of crops, soil, water distribution, etc., for precise analysis of the current agricultural solved key technical problems, we propose a use ofwireless sensor network technology, suitable for large areas of agricultural land Intelligent Irrigation Control.3.1 The system worksOf SCM system with multiple sensors on the humidity, temperature, rainfall, pH, water evaporation (wind speed) and air temperature, and other information collection to achieve the precise automatic irrigation control field, the output of the signal information through a wireless full-dup lex Public data transmission sent to the control center transceiver module (embedded systems) to determine whether to activate the pump for the farm water supply, water supply or not, this sa me information sent by the GPRS communication through the Internet to remote control centers, remote monitoring, and some models by computer to process information, make a water supply plan.3.2 Hardware Design of Wireless Sensor NetworksWireless sensor network model is different from the traditional wireless network infrastructure, network, monitoring the region by a large number of sensor nodes randomly dispenser (the node), coordinated by the node and quickly set up their own communications network, under the principle of priority for energy efficiency division of work tasks for monitoring regional information .Self-organizing properties of the network when the node failure is reflected in the new node is added or when the network is capable of adaptive re-established to adjust the overall detection accuracy, give full play to its advantages in resources, that is, each node in the network with data collected in addition both data forwarding function smulti-hop routing. Wireless sensor networks composed of nodes in each category by the general data acquisition, data processing, data transmission and power the four parts. Each of microcontrollerhardware and software design are the same. The design of the study design was only for a single chip system. Be monitored in the form of physical signals determines the type of sensor .Embedded processors are often used CPU, such as the MOTOROLA company's 68HC16, C51 MCU and so on. Data transmission unit can be selected from low-power, short-range wireless communication modules, but considering the anti-theft and natural damage, the system chooses the larger power, transmission distance of SA68D21DL, farmers can be placed in the office or home, the main controller. Figure 2 depicts the composition of the node, in which the direction of the arrow indicates that the data flow direction in the node.3.3 The main control systemIn this system, the control network and Internet hosts as the connection between the wireless sensor network protocol conversion gateway. The hardware used Zhiyuan Electronics Mini ARM embedded computer modules. MiniISA series acquisition board structure using smart card, that card on the built-in MCU.MCU on the board on-board I / O ports control, to achieve I / O data buffer, thereby reducing the interface to the host board for Mini ISA dependence, saving the host data processing time, to ensure MiniISA system more efficient operation. MCU board can also collect data or output data for further processing. System schematic shown in Figure 3.3.4 Design of the remote control system is mainlyGPRS communication system, GPRS and Internet network access system, the monitoring center console-friendly interface display control system. This part of the hardware can be applied to existing mobile telecommunications companies and Internet resources, software and professional capabilities to consider the cost of independent development, the proposed application of the existing remote software, such as "Ball Remote Control" software, remote monitoring equipment Co., Ltd. in Hunan Province The RC-2000 remote control software visualization system. Concluding RemarksThis paper reviews the nodes of wireless sensor networks, characteristics, and current applications in agriculture, research-based embedded systems, intelligent wide are a of farmland out of the water supply system, water is detected field information to continue through the automatic control Electric water pump to start, and realized the remote GPRS communications, PC, to learn through the health system and over which it controlled, automatic acquisition of soil information to determine whether to activate the pump from the line for the farm water supply, which is in line with China's rural areasbasic national conditions. The system is simple, click on the system transformation, can be designed courtyard automatic water supply systems, automatic water supply system and a garden greenhouse automatic water supply system, so the scalability of the system is better, relatively broad prospects.Today's wireless sensor network as a new hotspot in the field of information, involving interdisciplinary. With the reduced cost sensors and related solutions to continuous optimization problems, such as power consumption and data fusion algorithm is more small, node localization algorithm in continuous improvement, and more advanced wireless RF module, wireless sensor networks will be more in agriculture wide range of applications.译文:无线传感器网络的实现及在农业上的应用1引言无线传感器网络(Wireless Sensor Network ,WSN)就是由部署在监测区域内大量的廉价微型传感器节点组成,通过无线通信方式形成的一个多跳的自组织的网络系统。

光电英文文献

光电英文文献

光电英文文献11光电材料量子设计与应用Quantum design and application of opto-electronic materials以量子力学为基础,应用半导体超晶格理论对光电材料的几何结构进行了理论设计,并通过MBE、MOCVD等多种方法制备了不同低维结构光电材料,研究了材料结构、界面、及存在缺陷对材料性能的影响,为制备高质量的光电信息材料和量子器件提供了理论指导。

Based on quantum mechanics and semiconductor superlattice theory,the opto-electronic material geometries were designed.And opto-electronic materials with different low dimension geometries were prepared through MBE and MOCVD techniques.Further,the influence of the material geometry,interface and defects on the material properties was studied,which provides theory guide for fabricating opto-electronic information material and quantum devices with high performance.GaN/AlGaN MQWs 光电薄膜的透射电镜和反射高能电子衍射照片TEM picture and RHEED patterns of GaN/AlGaN optoelectronic films with MQWs structure 25nm (a)Cap layer MQWs(c)(b)0002B+F0002101-1010-F+C。

光伏发电英文文献Ultra-High Efficiency Photovoltaic

光伏发电英文文献Ultra-High Efficiency Photovoltaic

Ultra-High Efficiency Photovoltaic Cells for Large Scale Solar Power GenerationYoshiaki NakanoAbstract The primary targets of our project are to dras-tically improve the photovoltaic conversion efficiency and to develop new energy storage and delivery technologies. Our approach to obtain an efficiency over40%starts from the improvement of III–V multi-junction solar cells by introducing a novel material for each cell realizing an ideal combination of bandgaps and lattice-matching.Further improvement incorporates quantum structures such as stacked quantum wells and quantum dots,which allow higher degree of freedom in the design of the bandgap and the lattice strain.Highly controlled arrangement of either quantum dots or quantum wells permits the coupling of the wavefunctions,and thus forms intermediate bands in the bandgap of a host material,which allows multiple photon absorption theoretically leading to a conversion efficiency exceeding50%.In addition to such improvements, microfabrication technology for the integrated high-effi-ciency cells and the development of novel material systems that realizes high efficiency and low cost at the same time are investigated.Keywords Multi-junctionÁQuantum wellÁConcentratorÁPhotovoltaicINTRODUCTIONLarge-scale photovoltaic(PV)power generation systems, that achieve an ultra-high efficiency of40%or higher under high concentration,are in the spotlight as a new technology to ease drastically the energy problems.Mul-tiple junction(or tandem)solar cells that use epitaxial crystals of III–V compound semiconductors take on the active role for photoelectric energy conversion in such PV power generation systems.Because these solar cells operate under a sunlight concentration of5009to10009, the cost of cells that use the epitaxial crystal does not pose much of a problem.In concentrator PV,the increased cost for a cell is compensated by less costly focusing optics. The photons shining down on earth from the sun have a wide range of energy distribution,from the visible region to the infrared region,as shown in Fig.1.Multi-junction solar cells,which are laminated with multilayers of p–n junctions configured by using materials with different band gaps,show promise in absorbing as much of these photons as possible,and converting the photon energy into elec-tricity with minimum loss to obtain high voltage.Among the various types of multi-junction solar cells,indium gallium phosphide(InGaP)/gallium arsenide(GaAs)/ger-manium(Ge)triple-junction cells that make full use of the relationship between band gaps and diverse lattice con-stants offered by compound semiconductors have the advantage of high conversion efficiency because of their high-quality single crystal with a uniform-size crystal lat-tice.So far,a conversion efficiency exceeding41%under conditions where sunlight is concentrated to an intensity of approximately5009has been reported.The tunnel junction with a function equivalent to elec-trodes is inserted between different materials.The positive holes accumulated in the p layer and the electrons in the adjacent n layer will be recombined and eliminated in the tunnel junction.Therefore,three p–n junctions consisting of InGaP,GaAs,and Ge will become connected in series. The upper limit of the electric current is set by the mini-mum value of photonflux absorbed by a single cell.On the other hand,the sum of voltages of three cells make up the voltage.As shown in Fig.1,photons that can be captured in the GaAs middle cell have a smallflux because of the band gap of each material.As a result,the electric currentoutputAMBIO2012,41(Supplement2):125–131 DOI10.1007/s13280-012-0267-4from the GaAs cell theoretically becomes smaller than that of the others and determines the electric current output of the entire tandem cell.To develop a higher efficiency tandem cell,it is necessary to use a material with a band gap narrower than that of GaAs for the middle cell.In order to obtain maximum conversion efficiency for triple-junction solar cells,it is essential to narrow down the middle cell band gap to 1.2eV and increase the short-circuit current density by 2mA/cm 2compared with that of the GaAs middle cell.When the material is replaced with a narrower band gap,the output voltage will drop.However,the effect of improving the electric current balance out-performs this drop in output voltage and boosts the effi-ciency of the entire multi-junction cell.When a crystal with such a narrow band gap is grown on a Ge base material,lattice relaxation will occur in the middle of epitaxial crystal growth because the lattice constants of narrower band-gap materials are larger than that of Ge (as shown in Fig.2).As a result,the carrier transport properties will degrade due to dislocation.Researchers from the international research center Solar Quest,the University of Tokyo,aim to move beyond such material-related restrictions,and obtain materials and structures that have effective narrow band gaps while maintaining lattice matching with Ge or GaAs.To achieve this goal,we have taken three approaches as indicated in Fig.3.These approaches are explained in detail below.DILUTE NITROGEN-ADDED BULK CRYSTAL Indium gallium nitride arsenide (InGaNAs)is a bulk material consists of InGaAs,which contains several percent of nitrogen.InGaNAs has a high potential for achieving a narrow band gap while maintaining lattice matching with Ge or GaAs.However,InGaNAs has a fatal problem,that is,a drop in carrier mobility due to inhomogeneousdistribution of nitrogen (N).To achieve homogeneous solid solution of N in crystal,we have applied atomic hydrogen irradiation in the film formation process and addition of a very small amount of antimony (Sb)(Fig.3).The atomic hydrogen irradiation technology and the nitrogen radical irradiation technology for incorporating N efficiently into the crystal can be achieved only through molecular beam epitaxy (MBE),which is used to fabricate films under high vacuum conditions.(Nitrogen radical irradiation is a technology that irradiates the surface of a growing crystal with nitrogen atoms that are resolved by passing nitrogen through a plasma device attached to the MBE system.)Therefore,high-quality InGaNAs has been obtained only by MBE until now.Furthermore,as a small amount of Sb is also incorporated in a crystal,it is nec-essary to control the composition of five elements in the crystal with a high degree of accuracy to achieve lattice matching with Ge or GaAs.We have overcome this difficulty by optimizing the crystal growth conditions with high precision and devel-oped a cell that has an InGaNAs absorption layer formed on a GaAs substrate.The short-circuit current has increased by 9.6mA/cm 2for this cell,compared with a GaAs single-junction cell,by narrowing the band gap down to 1.0eV.This technology can be implemented not only for triple-junction cells,but also for higher efficiency lattice-matched quadruple-junction cells on a Ge substrate.In order to avoid the difficulty of adjusting the compo-sition of five elements in a crystal,we are also taking an approach of using GaNAs with a lattice smaller than that of Ge or GaAs for the absorption layer and inserting InAs with a large lattice in dot form to compensate for the crystal’s tensile strain.To make a solid solution of N uniformly in GaNAs,we use the MBE method for crystal growth and the atomic hydrogen irradiation as in the case of InGaNAs.We also believe that using 3D-shaped InAs dots can effectively compensate for the tensile strainthatFig.1Solar spectrum radiated on earth and photon flux collected by the top cell (InGaP),middle cell (GaAs),and bottom cell (Ge)(equivalent to the area of the filled portions in the figure)occurs in GaNAs.We have measured the characteristics of a single-junction cell formed on a GaAs substrate by using a GaNAs absorption layer with InAs dots inserted.Figure 4shows that we were able to succeed in enhancing the external quantum efficiency in the long-wavelength region (corresponding to the GaNAs absorp-tion)to a level equal to GaAs.This was done by extending the absorption edge to a longer wavelength of 1200nm,and increasing the thickness of the GaNAs layer by increasing the number of laminated InAs quantum dot layers.This high quantum efficiency clearly indicates that GaNAs with InAs dots inserted has the satisfactory quality for middle cell material (Oshima et al.2010).STRAIN-COMPENSATED QUANTUM WELL STRUCTUREIt is extremely difficult to develop a narrow band-gap material that can maintain lattice matching with Ge orGaAs unless dilute nitrogen-based materials mentioned earlier are used.As shown in Fig.2,the conventionally used material InGaAs has a narrower band gap and a larger lattice constant than GaAs.Therefore,it is difficult to grow InGaAs with a thickness larger than the critical film thickness on GaAs without causing lattice relaxation.However,the total film thickness of InGaAs can be increased as an InGaAs/GaAsP strain-compensated multi-layer structure by laminating InGaAs with a thickness less than the critical film thickness in combination with GaAsP that is based on GaAs as well,but has a small lattice constant,and bringing the average strain close to zero (Fig.3.).This InGaAs/GaAsP strain-compensated multilayer structure will form a quantum well-type potential as shown in Fig.5.The narrow band-gap InGaAs layer absorbs the long-wavelength photons to generate electron–hole pairs.When these electron–hole pairs go over the potential bar-rier of the GaAsP layer due to thermal excitation,the electrons and holes are separated by a built-in electricfieldFig.2Relationship between band gaps and lattice constants of III–V-based and IV-based crystalsto generate photocurrent.There is a high probability of recombination of electron–hole pairs that remain in the well.To avoid this recombination,it is necessary to take out the electron–hole pairs efficiently from the well and transfer them to n-type and p-type regions without allowing them to be recaptured into the well.Designing thequantumFig.3Materials and structures of narrow band-gap middle cells being researched by thisteamFig.4Spectral quantum efficiency of GaAs single-junction cell using GaNAs bulk crystal layer (inserted with InAs dots)as the absorption layer:Since the InAs dot layer and the GaNAs bulk layer are stacked alternately,the total thickness of GaNAs layers increases as the number of stacked InAs dot layers is increased.The solid line in the graph indicates the data of a reference cell that uses GaAs for its absorption layer (Oshima et al.2010)well structure suited for this purpose is essential for improving conversion efficiency.The high-quality crystal growth by means of the metal-organic vapor phase epitaxy (MOVPE)method with excellent ability for mass production has already been applied for InGaAs and GaAsP layers in semiconductor optical device applications.Therefore,it is technologically quite possible to incorporate the InGaAs/GaAsP quantum well structure into multi-junction solar cells that are man-ufactured at present,only if highly accurate strain com-pensation can be achieved.As the most basic approach related to quantum well structure design,we are working on fabrication of super-lattice cells with the aim of achieving higher efficiency by making the GaAsP barrier layer as thin as possible,and enabling carriers to move among wells by means of the tunnel effect.Figure 6shows the spectral quantum effi-ciency of a superlattice cell.In this example,the thickness of the GaAsP barrier layer is 5nm,which is not thin enough for proper demonstration of the tunnel effect.When the quantum efficiency in the wavelength range (860–960nm)that corresponds to absorption of the quan-tum well is compared between a cell,which has a con-ventionally used barrier layer and a thickness of 10nm or more,and a superlattice cell,which has the same total layer thickness of InGaAs,the superlattice cell demonstrates double or higher quantum efficiency.This result indicates that carrier mobility across quantum wells is promoted by even the partial use of the tunnel effect.By increasing the P composition in the GaAsP layer,the thickness of well (or the In composition)can be increased,and the barrier layer thickness can be reduced while strain compensation is maintained.A cell with higher quantum efficiency can befabricated while extending the absorption edge to the long-wavelength side (Wang et al.2010,2012).GROWTH TECHNIQUE FOR STRAIN-COMPENSATED QUANTUM WELLTo reduce the strain accumulated in the InGaAs/GaAsP multilayer structure as close to zero as possible,it is nec-essary to control the thickness and atomic content of each layer with high accuracy.The In composition and thickness of the InGaAs layer has a direct effect on the absorption edge wavelength and the GaAsP layer must be thinned to a satisfactory extent to demonstrate fully the tunnel effect of the barrier layer.Therefore,it is desirable that the average strain of the entire structure is adjusted mainly by the P composition of the GaAsP layer.Meanwhile,for MOVPE,there exists a nonlinear rela-tionship between the P composition of the crystal layer and the P ratio [P/(P ?As)]in the vapor phase precursors,which arises from different absorption and desorption phenomena on the surface.As a result,it is not easy to control the P composition of the crystal layer.To break through such a difficulty and promote efficient optimiza-tion of crystal growth conditions,we have applied a mechanism to evaluate the strain of the crystal layer during growth in real time by sequentially measuring the curvature of wafers during growth with an incident laser beam from the observation window of the reactor.As shown in Fig.7,the wafer curvature during the growth of an InGaAs/GaAsP multilayer structure indicates a periodic behavior.Based on a simple mechanical model,it has become clear that the time changes ofwaferFig.5Distribution of potential formed by the InGaAs/GaAsP strain-compensated multilayer structure:the narrow band-gap InGaAs layer is sandwiched between wide band-gap GaAsP layers and,as a result,it as quantum well-type potential distribution.In the well,electron–hole pairs are formed by absorption of long-wavelength photons and at the same time,recombination of electrons and holes takes place.The team from Solar Quest is focusing on developing a superlattice structure with the thinnest GaAsP barrier layercurvature are proportionate to the strain of the crystal layer relative to a substrate during the growing process.One vibration cycle of the curvature is same as the growth time of an InGaAs and GaAsP pair (Sugiyama et al.2011).Therefore,the observed vibration of the wafer curvature reflects the accumulation of the compression strain that occurs during InGaAs growth and the release of the strain that occurs during GaAsP growth.When the strain is completely compensated,the growth of the InGaAs/GaAsP pair will cause this strain to return to the initial value and the wafer curvature will vibrate with the horizontal line as the center.As shown in Fig.7,strain can be compensated almost completely by adjusting the layer structure.Only by conducting a limited number of test runs,the use of such real-time observation technology of the growth layer enables setting the growth conditions for fabricating the layer structure for which strain has been compensated with highaccuracy.Fig.6Spectral quantum efficiency of GaAs single-junction cell using InGaAs/GaAsP superlattice as theabsorption layer:This structure consists of 60layers of InGaAs quantum wells.The graph also shows data of a reference cell that uses GaAs for its absorption layer (Wang et al.2010,2012)Fig.7Changes in wafer curvature over time during growth of the InGaAs/GaAsP multilayer structure.This graph indicates the measurement result and the simulation result of the curvature based on the layer structure(composition ?thickness)obtained by X-ray diffraction.Since compressive strain is applied during InGaAs growth,the curvature decreases as time passes.On the other hand,since tensile strain is applied during GaAsP growth,the curvature changes in the oppositedirection (Sugiyama et al.2011)FUTURE DIRECTIONSIn order to improve the conversion efficiency by enhancing the current matching of multi-junction solar cells using III–V compound semiconductors,there is an urgent need to create semiconductor materials or structures that can maintain lattice matching with Ge or GaAs,and have a band gap of1.2eV.As for InGaNAs,which consists of InGaAs with several percent of nitrogen added,we have the prospect of extending the band edge to1.0eV while retaining sufficient carrier mobility for solar cells by means of atomic hydrogen irradiation and application of a small quantity of Sb during the growth process.In addition,as for GaNAs bulk crystal containing InAs dots,we were able to extend the band edge to1.2eV and produce a high-quality crystal with enoughfilm thickness to achieve the quantum efficiency equivalent to that of GaAs.These crystals are grown by means of MBE. Therefore,measures that can be used to apply these crys-tals for mass production,such as migration to MOVPE, will be investigated after demonstrating their high effi-ciency by embedding these crystals into multi-junction cells.As for the InGaAs/GaAsP strain-compensated quantum well that can be grown using MOVPE,we are working on the development of a thinner barrier layer while compen-sating for the strain with high accuracy by real-time observation of the wafer curvature.We have had the prospect of achieving a quantum efficiency that will sur-pass existing quantum well solar cells by promoting the carrier transfer within the multilayer quantum well struc-ture using the tunnel effect.As this technology can be transferred quite easily to the existing multi-junction solar cell fabrication process,we strongly believe that this technology can significantly contribute to the efficiency improvement of the latest multi-junction solar cells. REFERENCESOshima,R.,A.Takata,Y.Shoji,K.Akahane,and Y.Okada.2010.InAs/GaNAs strain-compensated quantum dots stacked up to50 layers for use in high-efficiency solar cell.Physica E42: 2757–2760.Sugiyama,M.,K.Sugita,Y.Wang,and Y.Nakano.2011.In situ curvature monitoring for metalorganic vapor phase epitaxy of strain-balanced stacks of InGaAs/GaAsP multiple quantum wells.Journal of Crystal Growth315:1–4.Wang,Y.,Y.Wen,K.Watanabe,M.Sugiyama,and Y.Nakano.2010.InGaAs/GaAsP strain-compensated superlattice solar cell for enhanced spectral response.In Proceedings35th IEEE photovoltaic specialists conference,3383–3385.Wang,Y.P.,S.Ma,M.Sugiyama,and Y.Nakano.2012.Management of highly-strained heterointerface in InGaAs/GaAsP strain-balanced superlattice for photovoltaic application.Journal of Crystal Growth.doi:10.1016/j.jcrysgro.2011.12.049. AUTHOR BIOGRAPHYYoshiaki Nakano(&)is Professor and Director General of Research Center for Advanced Science and Technology,the University of Tokyo.His research interests include physics and fabrication tech-nologies of semiconductor distributed feedback lasers,semiconductor optical modulators/switches,monolithically integrated photonic cir-cuits,and high-efficiency heterostructure solar cells.Address:Research Center for Advanced Science and Technology, The University of Tokyo,4-6-1Komaba,Meguro-ku,Tokyo153-8904,Japan.e-mail:nakano@rcast.u-tokyo.ac.jp。

光伏发电技术中英文资料外文翻译文献

光伏发电技术中英文资料外文翻译文献

光伏发电技术中英文资料外文翻译文献Research Article 1: Title of the ArticleAbstractResearch Article 2: Title of the ArticleAbstractThis research article focuses on the environmental impact of PV technology. It explains how solar panels convert sunlight into electricity and highlights the role of PV systems in reducing greenhouse gas emissions. The article discusses the benefits and challenges associated with PV technology, including its dependence on sunlight, land requirements, and recyclability of materials. It also examines the life cycle assessment (LCA) of PV systems to evaluate their overall environmental performance. The research concludes by suggesting strategies to mitigate the environmental impact of PV technology, suchas improving panel efficiency and implementing responsible recycling practices.Research Article 3: Title of the ArticleAbstractThis article explores the economic aspects of PV technology. It analyzes the cost of installing and maintaining PV systems, including considerations for equipment, installation, and operation. The research discusses various financial incentives, such as government subsidies and tax credits, that promote the adoption of PV technology. It also highlights the economic benefits of PV systems, including job creation and energy independence. The article concludes by discussing the future potential of PV technology in reducing energy costs and stimulating economic growth.Research Article 4: Title of the ArticleAbstractThe focus of this research article is on the technical advancements in PV technology. It discusses the development of new materials and manufacturing processes to improve the efficiency and reliability of solar panels. The article explores the integration of PV systems with smart grid technology and how it enables better management of electricity generation and consumption. It also highlights the role of artificial intelligence and machine learning in optimizing PV system performance. The research concludes by suggesting future research directions in PV technology, such as exploring nanomaterials and enhancing the intelligence of PV systems.Conclusion总结这份文献收录了关于光伏发电技术的各个方面的研究,涵盖了与环境影响、经济考量和技术进展相关的主题。

电子科学与技术 毕业 论文 英文 外文 文献翻译

电子科学与技术 毕业 论文 英文 外文 文献翻译

毕业设计(论文)外文文献翻译AbstractThis paper presents a voltage compensation driver for lighting a passive matrix organic LEDs (PMOLEDs) panel. A driver is designed andfabricated using FPGA and discrete components. The supply voltage range of the proposed driver is under 20V. Therefore, it can be applied in most commercial PMOLEDs panels. The luminance is confirmed by driving a PMOLEDs panel with a size of 64*48 pixels. Experimental results indicate that good luminance uniJormiQ is achieved using the proposed compensation driver. The lighting performance of PMOLEDs is quite similar to that driven by a canstant current. The voltage compensation driving method is applicable to PMOLEDs panels with various struciures or materials. Moreover, it can be applied to both monochrome and gray scale PMOLEDs Panels.Index Terms --eonstant current, luminance uniformity PMOLEDs, voltage compensation.I. INTRODUCTIONlat panel displays are in the mainstream of the information Fdisplay; they include a TFT-LCD monitor. The Organic LEDs (OLEDs) panel is another technology developed during the past decade. The OLEDs panels have several excellent and unique characteristics [I]. The properties include a wide viewing angle, quick response, thinness, lightness, , high efficiency, and self-emission [2]. Many studies have developed improved structures for PMOLEDs panels to enhance the lifetime and photo-efficiency [3]-[7]. Technologies for massproducing OLEDs are showing continuous advancement. Consequently, OLEDs technology may be applied extensively to commercial products in the near future.Applications of OLEDs technology include the following [SI.1 : lnformation systems2: Back lighting for LCD3: Automotive lighting4: Advertising panels5: Light sources6: Airport runway lighting7: Car audio lighting8: PDA / PC displays9: Smart cardsIO: Cellular phones'Chang-Jung Juan and Ming-long Tsai are with the Graduate SFhool of Engineenng, National Taiwan University of Science and Technology, No. 43,Sec. 4, KeeLung Rd., Taipei, 106, Taiwan, R.O.C.(mjtsai@.tw) Chang-Jung Juan is also with ElectronicEngineering Department, Hwa-Hsia College of Technology and Commerc6,No. 111, Hwa-Sing St., Jong-He ciw, Taipei, 243, Taiwan. R.O.C.(rric@.t~,)OLEDs panels can be classified into two types active matrix OLEDs (AMOLEDs) and passive OLEDs (PMOLEDs) [2]. Each individual pixel inside an AMOLEDs panel is independently driven via associated TFTs and capacitors in the electronic hack plane, as shown in Fig. I(a). In contrast, each pixel inside a PMOLEDs panel is lit by the driver, attached to each row and column, as shown in Fig. l(b). When a particular row is chosen, the column data and the row determine the lit pixels.PMOLEDs panels have been used in some commercialized products, including mobile phones and carstereos. With the aforementioned superior characteristics and possible applications, the OLEDs panel could be a significant mainstream technology in the display field in the future [9].The luminance of the PMOLEDs is linearly related to the current fed into the pixel. Naturally, the current controls the brightness of a PMOLEDs panel. A constantcurrent method is the most popular method for driving a PMOLEDs panel. This topic has been discussed in several papers [IO]-[14]. A current control theory is applied to a closed loop system that implies the circuit with a feedback path. A complex circuit generates a constant current. Thus, oscillation problems and the response time of a driving current should be considered.In PMOLEDs panels, indium-tin-oxide (ITO) is connected to the anode of each pixel in a column. In each row, a metal line is connected to the cathode of each pixel, as illustrated in Fig. l(b). The resistance of the IT0 is approximately 80 n /square area. The IT0 serves as a conductor so resistances exist between each pixel in the same column. Figure 2(a) presents a partial circuit of a singlecolumn in the PMOLEDs, where Re represents the resistance of the ITO. Accordingly, the IT0 resistance causes a voltage drop so that each pixel in each different row has a different voltage drop. Figure 2(b) illustrates the voltage drop for two pixels, p (I,]) and p (k, 1) in row one and row k, respectively. The resistances can be witten as R (1,l) and R (k,l), respectively. The relationship between- these two resistances can be described by the following equation.(1)Equation (1) .implies that the resistance of a pixel depends on the length of the ITO. Assume that the voltage drop due to the IT0 resistance can he compensated for a uniform luminance can be obtained by a voltage-driven PMOLEDs panel.11. PRINCIPLE OF VOLTAGE COMPENSATIONIn this section, the replacement by voltage compensation of a constant current driver for lighting a PMOLEDs panel is proven. Generally, a current controlled circuit drives a PMOLEDs panel. The gray level luminance of a PMOLEDs panel can be easily controlled. However, a totally different method, involving a voltage compensation driver, is proposed. Advantages of the proposed driver include ease of fabrication and quick electronic responses in operation. Furthermore, the^ display performance of a voltage compensation driver is sufficiently good in displaying mono-color pictures. Consider a PMOLEDs panel with a size of 3*3 pixels. Figure 3 presents the equivalent circuitry. Notably, the anode ofeach pixel is connected to each column from an IT0 and the cathode of each pixel is connected to a row by way of metal; The effect of capacitance can be neglected in the steady staie: The resistances of IT0 and metal are the major factors that affect the luminance. They are the resistance of the column (Rc) and the resistance of the row (Rr), respectively.Assuming that a pixel in the first column and the first row on a PMOLEDs panel is represented by P (0,O). The overall resistance will he Rc + Rr. It can be generally rewritten asFollows.where "i" is the row number and '7' is the column number.Equation (2) presents an important property of a PMOLEDs panel. The line resistance of each pixel differs from that of !he others in a PMOLEDs panel. The voltage compensation method is based on the standard procedure for producing a PMOLEDs panel. Accordingly, each pixel has the same characteristics, 'except line resistance. If the line resistance is ignored, the measured voltage drop across each pixel will he the same when the PMOLEDs panel is driven by a constant current.111. HARDWAREIM PLEMENTATIONThis section describes a voltage compensation method based on the principles derived from Section 11. Recall that the uniform luminance of a PMOLEDs panel can be obtained when each pixel is maintained at the same driving voltage and the line resistance is not a factor. Equation (7) can he transferred by an analog adder from hardware perspective. This adder manipulates three items - V(O,O), A V, (j) and A Vx (i) . Software-controlled DAC (Digital to Analog Converter) can generate varying voltages A Vc&) and A VR(i). Generally, lighting on a PMOLEDs panel is scanned row by row; thus, data for displaying in each column were passed simultaneously. Each column driver requires a DAC to compensate for the voltage A Vc. Such a circuit would he very complex and costly. Therefore, consider A V, (j). It is in the V range, and is not very important in the uniformity of luminance; hence, A V,(j) can be neglected for simplicity the circuit. VO,ED,i, = V(O,O)+ A V, 6) ( 8 ) where i is the row number The simplified Eq. (8) can be implemented using a DAC and a look up table (LUT), as shown in Fig. 5. The input to LUT is a row number and its outputs aredigital data to be input to a DAC, so that the varying voltage across^ the row, A V,(i). is generated. A counter is used to generate the row number. The counter is triggered by a synchronization of horizontal line (H.S.) and is reset by a frame vertical synchronization (V.S.). When lighting a PMOLEDs panel, the driver can generate a voltage, which is a function of a row number, to achieve a uniform luminance.Iv. EXPERIMENTRAELS ULTSA ND DISCUSSIONIn this section, some experimental results are presented to prove why the voltage compensation method can be applied to PMOLEDs panels to yield a uniform brightness. Figure 8shows a verifying system that combines a PMOLEDs panel and a power source with accurate measurement instruments to evaluate the compensation method for a PMOLEDs panel. The specification of a PMOLEDs panel is 64*48 pixels and the anode is made of ITO; the cathode is made of a metal line. A PC acts as a data collector and a controller during the testing procedure. A constant current flows through each pixel in the PMOLEDs panel. Meanwhile, the voltage drop across each pixel of PMOLEDs panel is recorded. Table I lists the voltage drops at different locations of a PMOLEDs panel at a constant current of 500~4. These voltage drop data clearly indicate that the resistance of the anode is key in the luminance performance of lighting a PMOLEDs panel. Accordingly, Eq. (7) gives the resistance of the anode. The voltage across different rows can thus be compensated for, to achieve uniform luminance when lighting a PMOLEDs panel.V. CONCLUSIONThis paper describes a voltage compensation method for improving the luminance uniform& of a PMOLEDs panel to take the place of a current-type driving method. The paper Chang-Jung Juan was born in considered basic theories and the circuit design of a voltage- Taiwan, R.O.C. in 1961. He compensated driver. Experimentalresults indicate that the received the B.S. and M.S. degree‘ luminance uniformity performance of the voltage in Electrical Engineering from compensation driving method is similar to that obtained by a National Taiwan Institute of constant current driving method. The proposed voltage- Technology, Taipei, Taiwan, R.O.C. compensated driver can be applied to both monochrome and in 1987 and 1989 respectively. gray scale PMOLEDs panels. Furthermore, the proposed Since 1989, he has been a faculty driver is economical than the conventional driver, member of the Department of Electronics Engineering of Hwa because of simple circuitry.介绍本文提出了一个电压补偿驱动被动矩阵有机发光二极管(PMOLEDs)面板照明。

光电子英文文献

光电子英文文献

Stimulated Brillouin scattering and its dependences on strain and temperature in a high-delta opticalfiber with F-doped depressed inner cladding Weiwen Zou,Zuyuan He,Masato Kishi,and Kazuo HotateDepartment of Electronic Engineering,University of Tokyo,Tokyo113-8656,JapanReceived September7,2006;revised November27,2006;accepted December8,2006;posted December11,2006(Doc.ID74831);published February15,2007 Stimulated Brillouin scattering(SBS)in a high-deltafiber with F-doped depressed inner cladding is studied through considering the interaction of acoustic and optical modes in thefiber.It is found that the number of acoustic modes in thefiber is reduced and the frequency spacing between neighboring modes is enlarged because of the F doping.The dependences of SBS on strain and temperature are measured and compared for each acoustic mode to investigate the feasibility of discriminative sensing of strain and temperature by use of thefiber.©2007Optical Society of AmericaOCIS codes:290.5900,120.5820,060.2310,060.2270,060.2370.Brillouin-based distributedfiber optic sensors1–3at-tract a great deal of interest for their potential appli-cations in smart materials and smart structures. These sensors,however,suffer difficulty in distin-guishing responses to strain from responses to tem-perature change with a singlefiber.To overcome the difficulty,researchers recently explored the utiliza-tion of two different Brillouin resonance peaks whose frequencies show different dependences on strain and temperature infibers.So far,a large-effective-area nonzero-dispersion-shiftedfiber4and a photonic crystalfiber with a small core of high germanium doping5have been investigated.Relatively,the latter approach gives a higher strain–temperature accuracy because the utilized second peak is said to originate from the acoustic antiwaveguide in thefiber.5This method,however,is limited in application because of the presence of multiple subpeaks with close fre-quency spacing in the Brillouin gain spectrum(BGS), which are hard to separate in measurement.In this Letter we investigate the stimulated Bril-louin scattering(SBS)in a high-delta opticalfiber with F-doped depressed inner cladding(F-HDF).Our simulation and measurement show that the BGS of the F-HDF has fewer acoustic modes and larger reso-nance frequency spacing between neighboring modes compared with a normal high-deltafiber(HDF)with the same core and cladding but without the inner cladding.The F-HDF,supplied by Fujikura Ltd.,has a highly GeO2-doped core(radiusϳ3.65␮m),a ϳ1wt.%F-doped depressed inner cladding(radiusϳ17␮m),and a pure-silica cladding(radius 62.5␮m).Compared with thefiber samples demon-strated in Ref.6,our F-HDF sample has a greater GeO2concentration with a maximum ofϳ24mol.%, and its F-doped region is part of the claddings.Figure 1(a)depicts the modeled refractive index profile(solid curve)and the acoustic velocity profile(dashed curve) that is deduced according to Ref.7.The profiles show that the depressed inner cladding for optical modes is an enhanced inner cladding for acoustic modes and then forms a second acoustic waveguide with respect to pure-silica cladding.By using our newly proposed two-dimensionalfinite-element modal analysis8on the profiles in Fig.1(a),we simulate the BGS in F-HDF(solid curve)and that in HDF(dotted curve) as shown in Fig.1(b).Here we assume that the F-HDF and HDF guide only the fundamental opticalLP01mode,although the average normalized fre-quency v value9is estimated asϳ2.95.This assump-tion is reasonable for the F-HDF because its de-pressed F-doped region enhances the normalizedcutoff v value of the optical LP11mode,which can be understood qualitatively from Ref.9.Figure1(b) shows that,by the F-doping,the number of total acoustic modes is reduced(six modes in HDF to four modes in F-HDF)and the frequency spacing between neighboring modes is enlarged(for example,ϳ320MHz in HDF between the second-order mode and the third-order mode is enlargedϳ400MHz in F-HDF).According to the calculation of the four acoustic modes’effective phase velocities(5058,5271,5470,and5645m/s,respectively)as marked in Fig.1(a),all four acoustic modes(L01,L02,L03,and L04)existing in F-HDF are located in the GeO2core region in terms of their effective phase velocities,but the higher-order mode is closer to the F-doped inner-cladding region.The difference in the BGS of F-HDF from that of HDF originates from the decrease of the average acoustic velocity in the claddings induced by the F-doped inner-cladding region,which resultsinFig.1.(Color online)(a)Modeled refractive index profile (solid curve)and the deduced acoustic velocity profile (dashed curve)in F-HDF,where⌬corresponds to the rela-tive difference of the refractive index and V l is the acoustic velocity.The marked points show the effective phase veloci-ties of different acoustic modes.(b)Simulated BGS in F-HDF(solid curve)and in HDF(dotted curve).600OPTICS LETTERS/Vol.32,No.6/March15,20070146-9592/07/060600-3/$15.00©2007Optical Society of Americathe cutoff of the fifth-͑L 05͒and sixth-order ͑L 06͒acoustic modes and then the increase of the fre-quency spacing.The experimental setup for SBS measurement is depicted in Fig.2.The pump and the probe waves are equally divided from a 1.549-␮m distributed-feedback laser diode (DFB-LD).The pump wave is amplified with an erbium-doped fiber amplifier (EDFA)to ϳ20dBm and chopped at 8.3MHz for lock-in detection.The probe wave frequency has a downshift ␯B from the pump wave through a single-sideband modulator (SSBM).To compensate for the loss in the SSBM,two additional EDFAs are inserted before and after the SSBM,respectively.The probe power launched into the fiber under test (FUT),the F-HDF,is ϳ2.3dBm.A variable optical attenuator (VOA)is used to prevent saturation of the photode-tector (PD).As shown in inset A,a water bath of ±0.1°C accuracy is used to control the temperature of the FUT.The FUT is coated with only a 250-␮m acry-late jacket,so that the coating’s influence on the tem-perature dependence can be neglected.The 4.74m FUT spliced to two 5cm standard single-mode fiber pigtails of an isolator and a circulator is handwound around a couple of drums with a diameter of 110mm to depress the influence of bending-induced birefringence.10The drums are inserted into the wa-ter bath and mounted on an x stage set for applying strain.The typical BGS of the F-HDF measured at 25°C in the loose state is depicted in Fig.3,in which the simulated BGS is also drawn for comparison.The measured result is in good agreement with the simu-lation except for a few frequency discrepancies that are possibly due to the influence from the leaky acoustic modes 11not considered in the simulation.By controlling the microwave frequency for SSBM at each temperature or strain setting,we measured the BGS corresponding to the four acoustic modes (i.e.,L 01,L 02,L 03,and L 04modes).Then we fitted each to a Lorenzian function with an offset to find each reso-nance frequency ␯B pk i .Figures 4(a)–4(d)depict the results measured at 25°C in the loose state as an ex-ample.The solid curves represent the Lorenzian fits,which match very well with the experimental data.The resonance frequencies ␯B pk i are 9.3930,9.7572,10.1539,and 10.5645GHz,respectively.The resonance frequencies ␯B pk i and ␯B pk j of two different peaks (peak i and peak j )in BGS simulta-neously affected by the applied strain and tempera-ture change are governed by the following relation:ͩ⌬␯B pk i ⌬␯B pk jͪ=ͩA i B i A j B jͪͩ⌬⑀⌬Tͪ,͑1͒where A i ͑j ͒and B i ͑j ͒correspond to the coefficients of strain and temperature for peak i ͑j ͒,respectively.Here we introduce a coefficient difference ratio ␥Aij or ␥Bij to let A j =A i ͑1+␥Aij ͒and B j =B i ͑1+␥Bij ͒.From Eq.(1),we know that whether the strain and tempera-ture can be distinguished is determined only by the following condition:␥Aij ␥Bij .͑2͒In fact,the Brillouin-based sensor system possesses a frequency measurement uncertainty ͑␦␯͒,which in-duces discrimination errors in strain ͑␦⑀ij ͒and tem-perature ͑␦T ij ͒.The strain and temperature errors can be estimatedbyFig.2.(Color online)Experimental setup of SBS measure-ment.Inset A,schematic control of the temperature and the strain on the FUT.EOM,electro-optic modulator;PC,polarization controller;VOA,variable optical attenuator;DAQ,dataacquisition.Fig.3.(Color online)Typical BGS measured at 25°C in loose state (dotted curve)compared with the simulated BGS (solid curve)in the F-HDF.Bottom axis,measured BGS;top axis,simulatedBGS.Fig.4.(Color online)Measured BGS (dots)at 25°C in loose state and Lorenzian fittings (solid curves)for (a)first-order ͑L 01͒,(b)second-order ͑L 02͒,(c)third-order ͑L 03͒,and (d)fourth-order ͑L 04͒acoustic mode scattering.March 15,2007/Vol.32,No.6/OPTICS LETTERS 601␦⑀ij =͉ͯ1+␥Bij ͉+1A i ͑␥Bij −␥Aij ͒ͯ␦␯,␦T ij =͉ͯ1+␥Aij ͉+1B i ͑␥Bij −␥Aij ͒ͯ␦␯,͑3͒respectively,which show that the measurement error becomes smaller if the value of ͉␥Bij −␥Aij ͉is greater.Figure 5depicts the measured resonance frequency of each acoustic mode in the F-HDF as a function of strain and temperature.Note here that the tempera-ture dependence is measured in the loose state and that the strain dependence is measured at 25°C.By using least-squares linear fitting,we get the strain coefficient A i and temperature coefficient B i for each acoustic mode scattering.As summarized in Table 1,the difference ratios of ␥Aij and ␥Bij of the higher-order scatterings with respect to the first-order scat-tering satisfy condition (2)better,providing feasibil-ity to employ the fiber for discriminating the response to strain from the response to temperature by using the first-order scattering as the reference and higher-order (e.g.,the fourth-order)scattering as the second peak,respectively.The frequency uncertainty ␦␯in our measurement is 0.1MHz according to a repeatability test.Based on Eq.(3),the strain errors and the temperature errors in using the F-HDF for discriminative measurements are summarized in Table 1.For the second-order acoustic mode,the errors are 55␮⑀and 2.4°C,re-spectively.The fourth-order acoustic mode gives the smallest discrimination errors because of its greatest ͉␥Bij −␥Aij ͉.This is probably due to the different re-sidual stress in the core region and the inner clad-ding induced during the fabrication of the fiber.12Therefore the higher-order acoustic mode located closer to the F-doped inner cladding shows a greater difference with respect to strain and temperature.However,for the measured F-HDF,the performance of the fourth-order acoustic mode scattering in dis-criminative measurement of strain and temperature is not satisfactory,because its effective acoustic phase velocity is still located in the core region [see Fig.1(a)],although it is closer to the inner-cladding region compared with the second-and third-order.Also,the Brillouin gain of the fourth-order is rela-tively lower (see Fig.3).This result suggests that fur-ther improvement is possible with properly designed core and inner-cladding regions to move the effective velocity of a higher-order acoustic mode (e.g.,second-or third-order)into the inner cladding region and to enhance its Brillouin gain relative to the first-order acoustic mode.In conclusion,we have investigated the SBS in an F-HDF and its dependences on strain and pared with the BGS in normal HDF,the acoustic modes in F-HDF are found to be modified by the F-doped inner cladding,resulting in fewer acous-tic modes appearing in the core region and a wider frequency spacing between neighboring modes.We also discussed the feasibility of using the F-HDF for discriminative measurement of strain and tempera-ture by utilizing behaviors of the higher-order scat-terings that differ from the first-order one.The cur-rent performance is expected to be improved by properly designing the core region and the inner-cladding region.The authors are grateful to Mr.Akira Wada of Fujikura Ltd.for providing the F-HDF sample.W.Zou’s e-mail address is zou@sagnac.t.u.-tokyo.ac.jp.References1.K.Hotate and M.Tanaka,IEEE Photon.Technol.Lett.14,179(2002).2.K.Hotate and S.S.L.Ong,IEEE Photon.Technol.Lett.15,272(2003).3.M.Nikles,L.Thevenaz,and P .Robert,Opt.Lett.21,758(1996).4.C.C.Lee,P .W.Chiang,and S.Chi,IEEE Photon.Technol.Lett.13,1094(2001).5.L.Zou,X.Bao,S.Afshar,and L.Chen,Opt.Lett.29,1485(2004).6.N.Shibata,K.Okamoto,and Y.Azuna,J.Opt.Soc.Am.B 6,1167(1989).7.Y.Koyamada,S.Sato,S.Nakamura,H.Sotobayashi,and W.Chujo,J.Lightwave Technol.22,631(2004).8.W.Zou,Z.He,and K.Hotate,IEEE Photon.Technol.Lett.18,2487(2006).9.M.Monerie,IEEE J.Quantum Electron.18,532(1982).10.R.Ulrich,S.C.Rashleigh,and W.Eickhoff,Opt.Lett.5,273(1980).11.S.Afshar,V .P .Kalosha,X.Bao,and L.Chen,Opt.Lett.30,2685(2005).12.Y.Park,K.Oh,U. C.Paek, D.Y.Kim,and C.R.Kurkjian,J.Lightwave Technol.17,1823(1999).Table 1.Strain and Temperature Coefficients andDiscriminative Measurement Errors for Various Acoustic Modes in F-HDF Parameters 1st Order 2nd Order 3rd Order 4th Order A i ͑MHz/␮⑀͒0.031640.031940.031900.0330B i (MHz/°C)0.69570.78760.80610.8342␥A 1j —0.00960.00820.0430␥B 1j —0.13210.15880.1992͉␥B 1j -␥A 1j ͉—0.12250.15050.1562␦⑀1j ͑␮⑀͒—554544␦T 1j (°C)—2.41.91.8Fig.5.(Color online)Resonance frequencies of different acoustic modes as a function of (a)strain and (b)tempera-ture.Solid lines,least-squares linear fits to data.Their slope rates,strain coefficients A i ,and temperature coeffi-cients B i are summarized in Table 1.602OPTICS LETTERS /Vol.32,No.6/March 15,2007。

喜欢光电的理由英文作文

喜欢光电的理由英文作文

喜欢光电的理由英文作文Why I Love Optoelectronics。

Optoelectronics is a fascinating field that combines the principles of optics and electronics to create devices and systems that use light to perform various functions. There are many reasons why I love optoelectronics, and in this essay, I will explore some of them.Firstly, optoelectronics is a rapidly growing field with many exciting applications. From fiber optic communication systems to LED lighting, optoelectronics is revolutionizing the way we live and work. One of the most exciting developments in optoelectronics is the use of lasers for medical treatments. Laser surgery is now a common procedure for correcting vision problems, removing tumors, and treating skin conditions. The precision and accuracy of lasers make them ideal for these applications, and they are much less invasive than traditional surgical methods.Secondly, optoelectronics is a highly interdisciplinary field that draws on many different areas of science and engineering. To be successful in optoelectronics, you need to have a solid understanding of optics, electronics, materials science, and physics. This makes optoelectronics a challenging and rewarding field to work in, as you are constantly learning and applying new concepts and techniques.Thirdly, optoelectronics has many practicalapplications that can improve our daily lives. For example, LED lighting is much more energy-efficient than traditional incandescent bulbs, which can save consumers money on their energy bills and reduce their carbon footprint. Similarly, fiber optic communication systems can transmit data much faster and over longer distances than traditional copper wire, which is essential for modern telecommunications and internet infrastructure.Finally, optoelectronics is a field that has the potential to make a significant impact on society. Forexample, optoelectronic sensors can be used to detect and monitor pollutants in the environment, which can help us better understand and mitigate the effects of climate change. Similarly, optoelectronic devices can be used for remote sensing and imaging, which can aid in disaster response and relief efforts.In conclusion, optoelectronics is a fascinating and rapidly growing field that has many exciting applications and practical benefits. Whether you are interested in lasers, LED lighting, or fiber optic communication systems, there is something for everyone in optoelectronics. As technology continues to evolve, optoelectronics will play an increasingly important role in shaping the world we live in.。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Segmentation of CT Lung Images Based on 2D Otsu Optimized by Differential EvolutionSushil Kumar,Millie Pant,and A.K. RayIndian Institnte of Technology Roorkee,India{kumarsushiliitr,millidma,akray}@Abstract. Image segmentation played a vital role in medical imaging system. With the help of image segmentation pulmonary parenchyma can be detected from multi sliced CT images. Pulmonary diseases such as lung cancer,tumor,and mass cells can be detected with 2D Otsu algorithm. 2D Otsu algorithm is a well-known image segmentation method. In CT images segmentation 2D Otsu playa vital role. Main drawback of 2D Otsu method is its computation complexity and computational time. In this paper 2D Otsu algorithm has implemented with Differential Evolution (DE) Algorithm. This results in reducing the computational complexity as well as computational time. Further the results are compared with 2D Otsu and 2D Otsu with PSO,which proves the efficiency of using DE with 2D Otsu.Keywords: Segmentation,CT Lung image,Pulmonary,parenchyma,DE,Thresholding,2D Otsu.1 IntroductionCT (Computed Tomography) scan is the standard for pulmonary imaging.It provides high spatial and high temporal resolution,excellent contrast resolution for the pulmonary structures and surrounding anatomy,and the ability to gather a complete three-dimensional (3-D) volume of human thorax in a single breath hold [1]. Pulmonary CT images have been used for applications such as lung parenchyma density analysis[习,airway analysis and lung and diaphragm mechanics analysis.Firstly,lD Otsu method was used for segmenting the lung CT images. But lD Otsu method gives better result only for high contrast images than for low contrast images. So a better 2D Otsu method was proposed for low contrast lung CT images. In this paper a new approach will be suggested for lung CT images segmentation. In this approach Differential Evolution (DE) is used with 2D Otsu method for optimizing the thresholding value of an image for segmentation in less computation time and less computation complexity,and results will be compared with 2D Otsu method and with 2D Otsu with PSO (Particle Swarm Otimization). In first section of the paper introduction of 2D Otsu method,in second sectionK. Deep et al.(E ds.):Pr oceedings of the I附rnational Conference on Soc Pro S 2011,AISC 131,pp,891~springerlinkcom © Springer India 2012892 S. Kumar , M. Pant , and A.K. Rayintroduction of Differential Evolution , and then the proposed algorithm and finally results and conclusion.2 2D Otsu Method2.1 Two-Dimensional HistogramAn image with size MxN can be represented by a 2D gray level intensity function f(x , y). The value of 开x , y) is the gray level , ranging from 0 to L-l , where L is thenumber of distinct gray levels. In a 2D thresholding method , the gray level of a pixel and its local average gray level are both used. The local average gray level is also divided into the same L values , let g 衍, y) be the function of the local average gray level [3].(0,0)T L-1 S[,-1x Fig.1. Two dimensional HistogramLet be the total number of occurrence (frequency) of the pair (i ,j) which reprsents pixel (x , y)with f(x , y)=i and g(x , y)=j , 0~二ηl 三M X N , then the joint probability mass function pij is given byp ,=」L'J MXN where i ,j = 0, 1,2,... L-l \‘自,,FJ FJ 唱Ei /'目飞、LL>ij = 1 (2)The 2D histogram of the image is Pij. Figure 1 shows the top view of 2D histogram.It covers a square region with size Ll. The x-coordinate (i) represents gray level and the coordinate U) represents the local average gray leve l. The 2DSegmentation of CT Lung Images Based on 2D Otsu Optimized 893 Histogram is divided into four quadrants at a vector 侣, T), where 0~二(S ,T)三 L -1. The dash dot line is the diagonal of 2D histogram. The pixels interior to the objects or the background should contribute mainly to the near-diagonal elements because of the homogeneity. Because of the pixels interior to the objects and background , the gray level of a pixel and its local average gray level are simila r. For pixels in the neighborhood of an edge between the objects and the background , the gray level of a pixel differs fairly from its local average gray leve l. Therefore , quadrants 1 and 2 contain the distributions of background and object classes , whereas the off diagonal quadrants 3 and 4 contain the distributions of pixels near edges and noises [3].Now suppose that the pixels are partitioned into two classes CO and Cl (background and objects) by a threshold pair(s , t), then the probabilities of class occurrence are given by向(s ,t)=IIpij Pl(S ,t) = I I Pij (3) (4)And the corresponding class mean levels areT (2.f=oz;=oI Pilzf=oz;=ol pz j\T flo = (µ00,µ01)' = I-o-~-J-~ • OJ ,-o-~-J-~- • OJ I飞Po Po J(5) T (2.:二:+1z;二:+1i pzlz:二:+1z;二:+1j pz 八T µ1 = (µ10'µ11)1 = ,OJ I\Pl Pl J (6) The total mean level vector of 2D Histogram is11-11-1 1-1 1-1\TflT =(川In? = (II i.Pij ,IIjPij ) (7)Because of assumption that the occurrences of image data in off-diagonal quadrants of 2D histogram can be neglected , it is easy to be verified thatPo + Pl ""1,µT "" Poµ。

相关文档
最新文档