实验五 移位寄存器
数字电路实验报告 实验5
实验五移存器功能测试及应用一、实验目的1、熟悉移位寄存器(移存器)的电路结构和工作原理。
2、掌握D触发器74HC(LS)74及集成移位寄存器74HC(LS)194的逻辑功能和使用方法。
二、实验设备和器件1、数字逻辑电路实验板1块2、74HC(LS)74(双D触发器)2片3、74HC(LS)194(4位双向通用移位寄存器)2片三、实验原理移位寄存器是具有移位功能的寄存器,其中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
移位寄存器存取信息的方式分为:串入串出、串入并出、并入串出、并入并出四种形式。
实验用器件管脚介绍:1、74HC(LS)74(双D触发器)管脚如下图所示。
2、74HC(LS)194(4位双向通用移位寄存器)管脚如下图所示。
四、实验内容与步骤1、利用两块74HC(LS)74(四个D触发器)构成一个单向的移位寄存器(基本命题)参照用两块74HC(LS)74(四个D触发器)构成一个单向移位寄存器的实验电路图连接电路,Q输出依次接LED指示灯,加电后在移位输入端加入不同信号观察LED指示灯变化。
1.1电路图1.2实验结果LED灯依次变亮,每次间隔一个CP。
2、测试74HC(LS)194的功能(基本命题)例如,Q输出依次接LED指示灯,改变S1、S0的值配合其它输入观察LED的变化。
2.1电路图2.2实验结果:置数:LED显示状态与置数端相同。
左移:LED从下往上(QD到QA)依次变亮,每次间隔一个CP右移:LED从上往下(QA到QD)依次变亮,每次间隔一个CP3、用两片74HC(LS)194做出模16的扭环计数器(扩展命题)将两片的Q输出依次都接到LED指示灯上,加电并加CP观察LED的变化。
现象一般为八盏灯先依次变暗再依次变亮如此循环。
3.1电路图3.2计数器拓展当进行M=2n 偶数计数时,可采用扭环型,D1=Q n ̅̅̅̅,将Q n 和高电平与非后反馈至第一片的输入端。
最新实验5移位寄存器
本科学生实验报告
学号114090316姓名李魁
学院物电学院专业、班级11应电班
实验课程名称电子设计自动化(EDA实验)
教师及职称罗永道副教授
开课学期2013至2014学年下学期
填报时间2014年5月17日
云南师范大学教务处编印
实验序号
5
实验名称
移位寄存器
实验时间
2014年5月16日
实验室
随着CLK脉冲的到来,就完成了将并行预置输入的数据逐位向右串行输出的功能,即将寄存器中的最低位首先输出。本实验利用进程中的非完整条件语句构成了时序电路,同时又利用信号的“并行”特性实现移位。
3.实验设备及材料
电脑一台,QuartusII实验平台
4.实验方法步骤及注意事项
Hale Waihona Puke 实验方法步骤:(1)打开计算机;
reg8(6 downto 0)<=reg8(7 downto 1);
此句表明:
(1)一个时钟周期后将上一时钟周期移位寄存器中的高7位二进制数,即当前值reg8(7 downto 1)赋给此寄存器的低7位reg8(6 downto 0)。于是其串行移空的最高位始终由最初的并行预置数的最高位填补。
(2)将上一周期移位寄存器中的最低位,即当前值reg(0)相QB中输出。
(2)打开Quartur II软件,编写和调试实验代码,然后进行试验仿真。
注意事项:
实验过程中认真分析实验原理编写代码,防止书本、书包等物品与实验设备接触,以免造成不必要的麻烦。
同析楼114
一.实验预习
1.实验目的:
(1)掌握移位寄存器的工作原理;
(2)熟悉VHDL编程语言的使用;
(3)熟悉Quartus II软件的使用。
实验5 双向移位寄存器 (2010)
实现74LS194左移、右移逻辑功能的逻辑电路图:
5.测试74LS194的右循环移位逻辑功能和左循环移位逻辑功 能(即构成环形计数器),用发光二极管显示,并列出状态
转换表。
设计思路提示:移位寄存器的最高输出接至最低位的输入 端;或将最低位的输出接至最高位输入端,即将移位寄存器 的首尾相连就可实现。
四
实验步骤
1.根据实验指导书中实验内容1、2、3的要求,对双向移位寄 存器74LS194进行功能测试。 2.根据与非门的逻辑功能,检测芯片的好坏。 3.根据实验指导书中实验内容4、5的要求,设计电路,并在 实验装置上安装电路,验证理论设计的正确性。
五 常见问题
1.芯片使用前不进行功能好坏的检测。 2.电源连接不正确,接地点接到-5V上或接到模拟电子实验 箱的电源上,非常危险,上电后芯片烧毁。 3.逻辑开关电平前的发光二极管不亮,检查进入数字实验 箱的电源连接线是否断。 4.实验箱电源连接正确,电路自查确定无误后,电路验证 还是不正确的情况下进行下面的排错检查: (1)检查芯片的电源和地的电平是否正确。 (2)芯片的清零连接电平是否正确。 (3)芯片的控制电平(M1,M0)是否正确。 (4)从逻辑电平开关输入信号是否正确。 (5)时钟信号是否正确输入。 (6)从输出端按逻辑功能状态往前一步一步排查。
三 实验内容与实验原理
1.双向移位寄存器74LS194介绍 双向移位寄存器的逻辑图
双向移位寄存器逻辑功能
双向移位寄存器74LS194的引脚图
数据输 出端
数据输 入端
时钟 信号
控制Hale Waihona Puke 信号清零端右移输入端
左移输入端
2.测试74LS194的置数功能,用发光二极管显示。
3.测试74LS194的右移逻辑功能,用发光二极管显示,并将 状态填入表1。 4.测试74LS194的左移逻辑功能,用发光二极管显示,并将 状态填入表2。
数字电路实训报告 电路移位寄存器及其应用
↑武汉职业技术学院电信学院数字电子技术实验报告实训科目: 数字电子技术实验(五)实训名称: 移位寄存器及其应用实训专业:实训者、序号:实训时间: 2011-2012年第一学期实训指导教师: 黄老师武汉职业技术学院实验(训)项目单(Training item)编制部门:电子信息工程学院编制人:日期:项目编号五项目名称移位寄存器及其应用训练对象电信工程学院电子类学生学时 3 课程名称数字电子技术实验教材(1)数字电子技术、(2)电子技能实训训练目的(1)掌握移位寄存器74LS194的功能特性(2)学会测量并检测74LS194的功能状态(3)阅读该器件的功能表,能掌握用该器件实现其他逻辑功能1.实训设备和器件(1)实训设备:数字电路学习机1台、导线若干(2)实训器件:移位寄存器74LS194 1片(3)图片:2.实训电路图A:74Ls194功能的测试:3.内容及实训步骤: (1):检查实验器件的好坏(包括数字电路学习机、导线)。
(2):将74LS194以正确的方式插入到数字电路学习机的正确位置。
(3):对照74LS 的引脚图将集成块的16脚(Vcc )接电源正极、8脚(GND )接地。
将11脚(CP ) 10脚、9脚(M1、M0)、2脚、7脚(Dsr 、Dsl )以及3、4、5、6(D0----D3)连接到开关上, 用于输入高低电平,将1脚(CR 非)连接到脉冲信号上。
最后将15、14、13、12即输出端连接 到二极管上。
(4):参照功能表,依次验证74LS194的各种功能,填入表格。
4.训总结与分析CR 非 M1 M0 CP Dsr DslD0 D1 D2 D3 Q 0n+1 Q 1n+1 Q2n+1 Q3n+1 功能 0 X X X X X X X X X 0 0 0 0 清0 1 X X 1(0)X X X X X X Q0n Q1n Q2n Q3n 保持1 0 0 X X X X X X X Q0n Q1n Q2n Q3n 1 0 1 ↑ 1 00 0 0 01 0 0 0右移 进d1 0 1 ↑ 0 1 1 0 0 0 0 1 0 0 10 1↑ 1 1 0 0 0 0 1 0 0 0 1 0 1 ↑ 0 0 1 0 0 0 0 1 0 0 1 0 1 ↑ d X X X X X d Q0n Q1n Q2n1 1 0 ↑ 0 1 0 0 0 0 0 0 0 1 左移 进d 1 1 0 ↑ 0 0 1 1 1 1 1 1 1 0 1 1 0 ↑ 1 1 0 0 0 0 0 0 0 1 1 1 0 ↑ 1 0 1 1 1 1 1 1 1 0 1 1 0 ↑ X d X X X X Q1n Q2n Q3n d 1 1 1 ↑ X X 0 0 0 0 0 0 0 0 置数1 1 1 ↑ X X 0 0 0 1 0 0 0 1 1 1 1 ↑ X X 1 0 0 0 1 0 0 0 1 1 1 ↑ X X 1 1 1 11 1 1 111 1↑X Xd0 d1 d2 d3 d0 d1 d2 d3(上表中X 代表任意电平,1代表高电平,0代表低电平)分析:4位双向移位寄存器74LS194具有并行置入、保持、左移、右移和异步清零的功能。
移位寄存器实验报告
移位寄存器课程设计报告(一)实验原理移位寄存器是用来寄存二进制数字信息并且能进行信息移位的时序逻辑电路。
根据移位寄存器存取信息的方式可分为串入串出、串入并出、并入串出、并入并出4种形式。
74194是一种典型的中规模集成移位寄存器,由4个RS触发器和一些门电路构成的4位双向移位寄存器。
该移位寄存器有左移,右移、并行输入数据,保持及异步清零等5种功能。
有如下功能表(三)实验内容1.按如下电路图连接电路十个输入端,四个输出端,主体为74194.2.波形图参数设置:End time:2us Grid size:100ns波形说明:clk:时钟信号; clrn:置0s1s0:模式控制端 sl_r:串行输入端abcd:并行输入 qabcd:并行输出结论:clrn优先级最高,且低有效高无效;s1s0模式控制,01右移,10左移,00保持,11置数重载;sl_r控制左移之后空位补0或补1。
3.数码管显示移位(1)电路图(2)下载验证管脚分配:a,b,c,d:86,87,88,89 bsg[3..0]:99,100,101,102clk:122 clk0:125 clrn:95q[6..0]:51,49,48,47,46,44,43 s0,s1:73,72sl_r:82,83结论:下载结果与仿真结果一致,下载正确。
一、实验日志1.移位寄存器的实验真的挺纠结的,本来想用7449的,但是下载结果出现了错误,想到它在这个电路图中的功能比较单一,就自己写了一个my7449,终于对了。
五、思考题(1)简单说明移位寄存器的概念及应用情况?概念:移位寄存器是用来寄存二进制数字信息且能进行信息移动的时序逻辑电路。
根据移位寄存器存取信息的方式不同可以分为串入串出,串入并出,并入串出,并入并处4种形式。
应用:移位寄存器可以构成计数器,顺序脉冲发生器,串行累加器,串并转换,并串转换等。
(2)仿真常规方法步骤是什么?有什么注意事项?a)新建波形文件后波形图参数设置b)添加结点或总线后信号整合与位置分配c)激励输入及分段仿真注意事项:1.激励输入信号与待分析输出信号上下放置,界限分明;时钟信号置顶,其他输入信号可按异步控制,同步控制,数据输入顺序向下放置;同一元器件的控制信号就近放置;同一功能的控制信号就近放置;2.符合总线形式的IO信号优先整合;同一器件和同一属性的控制信号优先整合;脉冲信号一般不整合;整合前信号应按高位到低位顺序向下放置;整合后信号名以能直观反映该信号功能为宜;3.首先设置时钟信号等系统信号激励完成电路初始状态,其次将时间轴划分为连续的时间段,一时间段完成一小步实验内容。
实验五四位多功能移位寄存器的设计
实验五四位多功能移位寄存器的设计四位多功能移位寄存器的设计1、实验⽬的熟悉ISE系列软件的设计流程和基本⼯具使⽤;学习四位多功能移位寄存器的设计;学习VHDL的IF语句应⽤。
2、实验内容移位寄存器是⼀种常⽤的时序电路。
它除了具有存储代码的功能之外,还具有移位功能。
移位功能是指存储在寄存器⾥的代码可再移位脉冲的作⽤下依次左移或右移。
移位寄存器可⽤于寄存代码,还可⽤于数据的串/并转换,并/串转换以及数值运算和处理等。
3、实验器材Spartan 3E开发板。
4、实验说明实验中所需要的源⽂件在本报告附录中。
5、实验步骤步骤1:创建ISE⼯程(1)启动桌⾯上的ISE9.1图标,在Project Navigator中选择File→New Project。
(2)在弹出的对话框(见图1)中,设置⼯程名为yiwei,⼯程存放路径为E:\work\,顶层模块类型选择HDL,并单击Next按钮。
图1 ISE⼯程属性对话框(3)出现图2所⽰对话框,⽬标器件选择spartan3E,具体设计如下图。
图2 ISE⼯程属性对话框(4)⼀直点击Next,直到出现图3(即是刚才所设定的),最后点击Finish。
图3 ⼯程设计总表出现图4,这就是所建⽴的⼯程,现在我们需要在⾥⾯完成我们的设计。
图4 ISE⼯程属性对话框步骤2:创建新的VHDL设计⽂件(1)在ISE⽤户界⾯中,选择Project→New Source。
(2)在弹出的对话框(见图5)中,选择VHDL Module作为源程序类型,设置⽂件名为yiwei,并单击“下⼀步”按钮。
图5 VHDL的New Source Wizard(3)点击Next,直到出现图6,直到Finish。
图6 程序总结(4)点击Finish之后出现程序编辑窗⼝,在窗⼝中进⾏程序编辑。
步骤3:利⽤XST进⾏设计综合并仿真(1)点击processes⽬录下的synthesize-XST可以实现综合。
图8 综合后的程序编辑窗⼝(2)综合过后,在信息显⽰窗⼝中会显⽰Process "Synthesize" completedsuccessfully即综合成功。
移位寄存器实验心得
移位寄存器实验心得在数字电路实验中,移位寄存器是一个非常重要的组件,它在数字信号处理和数据存储中起着至关重要的作用。
通过对移位寄存器的实验,我对其工作原理和应用有了更深入的了解,并且积累了一些宝贵的实验心得。
首先,移位寄存器是一种能够将数据按位进行移动的寄存器,它可以实现数据的左移和右移操作。
在实验中,我使用了几种不同类型的移位寄存器,包括串行移位寄存器和并行移位寄存器。
通过对这些寄存器的实验,我发现它们在数据处理中具有非常灵活的应用方式,能够满足不同的需求。
其次,通过实验我了解到移位寄存器在数字信号处理中的重要性。
在实际应用中,移位寄存器可以用来实现数字信号的平移、延迟和时序控制等功能。
在数字滤波、数字调制解调、数字信号处理等领域,移位寄存器都扮演着不可或缺的角色。
另外,通过实验我还学会了如何使用移位寄存器来实现数据存储和传输。
在实验中,我将移位寄存器和其他逻辑门电路结合起来,实现了数据的存储和传输功能。
这种方法可以在数字系统设计中发挥重要作用,提高数据处理的效率和可靠性。
在实验中,我还发现了一些需要注意的问题。
首先是移位寄存器的时钟信号。
在实际应用中,时钟信号的频率和相位对移位寄存器的工作有着重要影响,需要合理设计和控制。
其次是移位寄存器的级联和级联。
在实验中,我发现级联多个移位寄存器可以实现更复杂的数据处理功能,但是需要注意级联的时序和逻辑关系,以避免出现故障。
总的来说,通过对移位寄存器的实验,我对其工作原理和应用有了更深入的了解,并且积累了一些宝贵的实验心得。
移位寄存器在数字信号处理和数据存储中具有非常重要的作用,它可以实现数据的移动、存储和传输等功能,对于数字系统设计和数字信号处理具有重要意义。
希望通过不断的实验和学习,我能够更深入地理解移位寄存器的工作原理和应用,为将来的工程实践打下坚实的基础。
移位寄存器实验_卢上游
移位寄存器实验三大队三营卢上游C022012020实验一: m 序列的采样实现(内容包括: 迹函数表示法、的陪集分解、m 序列的线性结构)(一)、算法思路1.n21Z *-的陪集分解 Step1:求出集合, 即找出1到中所有与互素的数。
Step2:求 的陪集分解。
采用遍历的方法, 取中的任意元素, 根据平移等价公式:存在整数使得成立, 找出与采样平移等价的序列对应的元素, 并都置为-1, 即取为一个陪集的代表元, 放入到集合中, 由此可知, 当遍历完后就可以得到所有陪集的代表元了, 即为集合的所有元素。
Set3: 取集合中所有元素、、……、, 对所给的n 级m 序列进行采样,得到、、……、, 即所有不同的n 级m 序列。
2.m 序列的线性结构Step1: 对于一个n 级m 序列取前2n 项, 代入递推关系式中, 求解方程组, 得到n 阶本原多项式。
如果能够取得所有的n 级m 序列的前2n 项,那么就能求得所有的n 阶本原多项式, 而获得所有的n 级m 序列, 实验(1)已经给出, 在这个实验中只给出了一个本原多项式。
Step2:对于求解方程组, 的取值, 采用给定一个数值, 使得对应于的二进制数的第位, 如果满足方程组, 则代入到中, 即为本原多项式, 如果不满足, 则, 直到。
3.迹函数表示法取为n 次本原多项式的根, 利用多项式表示法表示出中的所有元素, 对中每一个元素, 求(Tr(),Tr(),Tr(),… ,Tr(),…), 即可得到G (f )中的所有序列。
因为在编程时发现迹函数的化解无法实现, 所以参考了刘帅在这一块的作业。
(二)、实验结果1.n21Z *-的陪集分解 用书上的例3.4.4验证本程序的正确性。
利用程序, 我们对4级m 序列进行采样, 实验结果如下:2.m 序列的线性结构以书上129页19题为例:3.迹函数表示法我们以5次本原多项式为例对程序进行验证, 实验结果如下:实验二: 梅西迭代算法实现(内容包括: 周期序列极小多项式、由已知m序列获得全部n次本源多项式)1.算法思路(1)一般梅西迭代算法(求产生N长二元序列的最短移位寄存器) Step1:取初始值: 。
实验5 移位寄存器及其应用
5
6 7 8
0111
0011 0001 0Hale Waihona Puke 006五.实验报告要求
1.测试移位寄存器74LS194的逻辑功能,分析实
验结果,总结74LS194的逻辑功能。
2.画出4位右移环形计数器、左移环形计数器和
右移扭环形计数器的电路图并填表。
7
1台 1片 1片
1
00
00
02
20
86
51
74
74
连续脉冲 单脉冲
194
2
三.实验内容 1.测试74LS194的逻辑功能
RD 异步置0端
CP:时钟脉冲输入端 S1、S0为操作模式控制端 D0-D3为并行输入端; Q0-Q3为并行输出端 DIL为左移串行输入端
3
DIR为右移串行输入端;
测试74LS194的逻辑功能:
S1 S0 CP DIL DIR D0 D1 D2 D3 Q0 Q1 Q2 Q3
功能总结
清零
并行置数 清零 右移1位 右移1位 右移1位 右移1位 清零 左移1位 左移1位 左移1位
0 ×× × × × × × × × 0 0 0 0
1
1 1
1 0 ↑
1 0 ↑
1 × ××× × 0 0 0 1
1 × ××× × 0 0 1 1
按表5.2所规定的输入状态,逐项进行测试。
置零 模式 时钟 串行 输入 输出
RD 0 ×× × × × × × × × 0 0 0 0
1 1 1 1 1 1 1 1 1 ↑ × × a b c d a b c d 0 1 ↑ × 0 ××× × 0 0 0 0 0 1 ↑ × 1 ××× × 1 0 0 0 0 1 ↑ × 0 ××× × 0 1 0 0 0 1 ↑ × 0 ××× × 0 0 1 0 1 0 ↑ 1 0 ↑ 0 × ××× × 0 0 0 0 0 × ××× × 0 0 0 0 0 ×× × × × × × × × 0 0 0 0
移位寄存器实验报告
移位寄存器实验报告移位寄存器实验报告引言移位寄存器是数字电路中常用的模块,它能够将输入的数据按照一定的规则进行移位操作。
本次实验旨在通过实际搭建移位寄存器电路,并通过观察输出结果来验证其功能和性能。
实验目的1. 掌握移位寄存器的工作原理和基本结构;2. 了解不同类型的移位寄存器,并能够根据需求选择合适的类型;3. 熟悉移位寄存器的应用场景和使用方法。
实验器材1. 移位寄存器芯片;2. 电路连接线;3. 示波器;4. 信号发生器。
实验步骤1. 将移位寄存器芯片连接到电路板上,并根据实验要求进行电路连接;2. 使用信号发生器产生输入信号,并将其输入到移位寄存器中;3. 通过示波器观察移位寄存器的输出信号,并记录下观察结果;4. 根据实验要求调整输入信号的频率和幅度,并观察移位寄存器的响应情况;5. 分析实验结果,总结移位寄存器的特性和应用。
实验结果在本次实验中,我们使用了一个4位移位寄存器芯片,并将其连接到电路板上。
通过信号发生器产生的输入信号,我们观察到移位寄存器的输出信号按照一定的规则进行了移位操作。
当输入信号的频率较低时,移位寄存器的输出信号可以清晰地观察到每一位的变化;而当输入信号的频率较高时,移位寄存器的输出信号则呈现出连续的变化。
通过实验结果的观察和分析,我们可以得出以下结论:1. 移位寄存器的工作原理是将输入信号按照一定的规则进行移位操作;2. 移位寄存器的输出信号与输入信号的频率和幅度有关;3. 移位寄存器可以用于数据的平移、扩展、压缩等操作;4. 不同类型的移位寄存器具有不同的特性和应用场景。
讨论与总结移位寄存器作为数字电路中的重要模块,在各种电子设备中都有广泛的应用。
它不仅可以用于数据的移位操作,还可以用于数据的存储、传输和处理。
在计算机系统中,移位寄存器常常用于数据的输入和输出,以及数据的处理和控制。
本次实验通过实际搭建移位寄存器电路,并观察其输出结果,使我们更加深入地了解了移位寄存器的工作原理和应用。
实验五寄存器和移位寄存器
实验五寄存器和移位寄存器实验类型:综合性一、实验目的1.测试中规模四位双向移位寄存器逻辑功能;2.研究由移位寄存器构成的环形计数器和串行累加器的工作原理。
二、实验内容(见实验指导书223-225页) 1、移位寄存器移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向通用移位寄存器,型号为74LS194,其逻辑符号及引脚排列如图5-1所示。
图5-1 74LS194逻辑符号及引脚排列其中,3D 、2D 、1D 、0D 为并行输入端;3Q 、2Q 、1Q 、0Q 为并行输出端;R S 为右移串行输入端;L S 为左移串行输入端;1S 、0S 为操作模式控制端;R C 为直接无条件清零端;CP 为时钟脉冲输入端。
74LS194有5种不同操作模式:并行送数寄存;右移(方向由3Q →0Q );左移(方向由0Q →3Q );保持及清零。
表5-174LS194逻辑功能表2、逻辑功能验证: (1). 并行输入:1). 从电子仿真软件Multisim9基本界面左侧左列真实元件工具条的“TTL ”元件库中调出74LS194;从“Basic ”元件库中调出单刀双掷开关8只;从“Source ”元件库中调出Vcc 和地线,将它们放置在电子平台上。
2). 从电子仿真软件Multisim9基本界面左侧右列虚拟元件工具条的指示器元件列表中调出红色指示灯4只,将它们放置在电子平台上。
3). 按图5-2连成仿真电路。
4). 打开仿真开关,用1J 实现“异步清0”功能;再根据“并行输入”功能要求,将1S 、0S 使能端置于“1、1”状态,A 、B 、C 、D 数据输入端分别设为“1011”,观察CLK 端加单脉冲CP 时,输出端指示灯变化情况,并填写表5-2。
实验五移位寄存器及其应用
实验五移位寄存器及其应用一、实验目的1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。
2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。
二、实验原理1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图10-1所示。
图10-1 CC40194的逻辑符号及引脚功能其中 D0、D1、D2、D3为并行输入端;Q、Q1、Q2、Q3为并行输出端;SR为右移串行输入端,SL 为左移串行输入端;S1、S为操作模式控制端;R C为直接无条件清零端;CP为时钟脉冲输入端。
CC40194有5种不同操作模式:即并行送数寄存,右移(方向由Q0→Q3),左移(方向由Q3→Q),保持及清零。
S 1、S和R C端的控制作用如表10-1。
2、移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。
本实验研究移位寄存器用作环形计数器和数据的串、并行转换。
(1)环形计数器把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图10-2所示,把输出端 Q3和右移串行输入端SR相连接,设初始状态QQ1Q2Q3=1000,则在时钟脉冲作用下Q0Q1Q2Q3将依次变为0100→0010→0001→1000→……,如表10-2所示,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。
图10-2 电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。
移位寄存器实验心得
移位寄存器实验心得(经典版)编制人:__________________审核人:__________________审批人:__________________编制单位:__________________编制时间:____年____月____日序言下载提示:该文档是本店铺精心编制而成的,希望大家下载后,能够帮助大家解决实际问题。
文档下载后可定制修改,请根据实际需要进行调整和使用,谢谢!并且,本店铺为大家提供各种类型的经典范文,如演讲致辞、总结报告、心得体会、合同协议、条据文书、规章制度、自我介绍、策划方案、职业规划、其他范文等等,想了解不同范文格式和写法,敬请关注!Download tips: This document is carefully compiled by this editor. I hope that after you download it, it can help you solve practical problems. The document can be customized and modified after downloading, please adjust and use it according to actual needs, thank you!Moreover, our store provides various types of classic sample texts for everyone, such as speeches, summary reports, insights, contract agreements, policy documents, rules and regulations, self introduction, planning plans, career planning, and other sample texts. If you want to learn about different formats and writing methods of sample texts, please stay tuned!移位寄存器实验心得移位寄存器实验心得(精品5篇)移位寄存器实验心得要怎么写,才更标准规范?根据多年的文秘写作经验,参考优秀的移位寄存器实验心得样本能让你事半功倍,下面分享【移位寄存器实验心得(精品5篇)】相关方法经验,供你参考借鉴。
实验5移位寄存器
教师评语及评分:
签名:年月日
随着CLK脉冲的到来,就完成了将并行预置输入的数据逐位向右串行输出的功能,即将寄存器中的最低位首先输出。本实验利用进程中的非完整条件语句构成了时序电路,同时又利用信号的“并行”特性实现移位。
3.实验设备及材料
电脑一台,QuartusII实验平台
4.实验方法步骤及注意事项
实验方法步骤:
(1)打开计算机;
“10011011”被载入,第三个时钟后,QB输出了右移出的第二个位“1”。如此进行下去,直到第八个CLK后,右移出了所有的二进制数,最后一位是“1”。
移位寄存器是用来寄存二进制数字信息且能进行信息移动的时序逻辑电路。根据移位寄存器存取信息的方式不同可以分为串入串出,串入并出,并入串出,并入并处4种形式。
signal reg8:std_logic_vector(7 downto 0);
process(clk,load)
begin
if clk'event and clk='1'then
if load='1'then
reg8<=din;--装载新数据
else reg8(6 downto 0)<=reg8(7 downto 1);
一.实验预习
1.实验目的:
(1)掌握移位寄存器的工作原理;
(2)熟悉VHDL编程语言的使用;
(3)熟悉Quartus II软件的使用。
2.实验原理、实验流程或装置示意图:
移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左右移的控制信号便可实现双向移位要求。根据寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
组成原理实验(五)-移位寄存器实验
计算机组成原理实验(五)-运算器扩展实验实验项目名: 移位寄存器实验实验要求:通过实验,理解移位操作的重要的作用;熟悉实验台上移位寄存器部件的硬件连线和移位操作的控制信号;掌握移位寄存器的控制方法;验证移位运算的意义。
实验内容:(1)完成电路连接。
将运算器单元、输入模块和输出模块挂接到总线上,连接好时序启停模块,为运算器工作提供基本的时序参考信号。
(2)分析运算器单元的移位寄存器的数据通路,确定通过该寄存器实现一次移位操作所需的控制序号序列,根据其发生的先后时序关系,写出相应的微控制信号序列。
(3)通过实验台的微控制输入开关,逐条的输入微控制信号,通过输入单元输入运算数据,在控制信号和时序信号的作用下,利用单步工作模式,控制移位寄存器工作,观察输出的计算结果。
通过实验完成以下内容:A、验证各种移位操作的控制方法,记录结果。
B、设计控制信号,充分利用移位操作,实现以下运算:详细说明:(1)实验中使用的移位寄存器位于运算器单元,是由一片74LS299芯片构造的移位寄存器,通过内部逻辑连接,该移位寄存器可以实现对数据的循环左、右移和带进位CN的循环左、右移。
充分利用各种提供的移位方式,配合上次实验课学过的运算单元,可以实现简单的乘法和除法运算。
下面看下利用移位器进行运算的基本方法和步骤:(a)移位操作的实现方法:✶通过输入模块将待操作的数据送到总线(SW-B);✶将总线上的数据打入移位寄存器(移位寄存器装数操作,见表1);✶对数据进行移位操作(根据表1的说明,合理的设置控制信号)✶将移位结果送到总线上,以便观察或其它使用(299-B);(b)移位运算与加法运算的配合:由于实验台的硬件限制,要实现简单的乘法运算,可以手动根据乘数的对应位值配置加法和移位操作实现。
✶将DR1寄存器作为部分积寄存器,初始化清零;✶将DR2寄存器作为被乘数寄存器,初始化为被乘数的绝对值;✶从乘数(绝对值)的最低位开始,根据对应位的值,控制ALU作DR1+DR2或者不加;✶将加运算的结果送入299移位寄存器,做带进位的循环右移操作,将移位结果重新送回DR1寄存器;根据移位操作执行后CN标志,记录乘积的的最低位;✶重复上述第3-5步,直到所有的乘数位都已考虑,完成乘法运算,乘积为DR1(部分积寄存器)的值(高位)和记录的所有移出CN位(低位)的合并;(2各模块控制信号说明:①输入模块:✶SW-B,开关输入信息送数据总线控制信号。
数电实验之移位寄存器
数电实验之移位寄存器移位寄存器一实验目的1.学习用D触发器构成移位寄存器(环行计数器)2.掌握中规模集成电路双向移位寄存器逻辑功能及使用方法二实验原理1、用4个D触发器组成4位移位寄存器,将每位即各D触发器的输出Q1、Q2、Q3、Q4分别接到四个0—1指示器(LED)将最后一位输出Q4反馈接到第一位D触发器的输入端,则构成一简单的四位移位环行计数器。
2、移位寄存器具有移位功能,是指寄存器中所存的代码能够在时钟脉冲的作用下依次左移或右移。
对于即能左移又能右移的寄存器称为双向移位寄存器。
只需要改变左移、右移的控制信号便可实现双向移位的要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向移位寄存器,型号为74LS194A(或CD40194),两者功能相同,其引脚分布图如下图18.1所示:其中A、B、C、D为并行输入端,A为高位依次排列;QA、QB、QC、QD为并行输出端;SR为右移串行输入端;SL为左移串行输入端;S1、S0为操作模式控制端;CLR为异步清零端;低电平有效;CLK为CP时钟脉冲输入端。
74LS194A有5种工作模式:并行输入,右移(QD→QA),左移(QD←QA),保持和清零。
74LS194功能表如表18.1所示:表18.1三实验器件数字实验箱集成电路芯片:74LS74×2 (CD4013×2);74LS75 ;74LS76 ;74LS194A(CD40194)。
图18.1四实验内容1.用74LS74组成移位寄存器,使第一个输出端点亮LED并使其右移循环。
顺序是FF1、FF2、FF3、FF4。
A) 1. 用两个74LS74按图18.2连接:图18.21. CP时钟输入先不接到电路中(单步脉冲源或连续脉冲源);1. 连接线路完毕,检查无误后加+5V电源;2. 观察4个输出端的LED应该是不亮的,如果有亮的话,应按清零端的逻辑开关,(给出一个低电平信号清零后,再将开关置于高电平)即将4个D触发器输出端的LED清零。
寄存器电路设计
实验五寄存器电路设计1.画出74ls74构成的4位单向移位寄存器并说明其工作原理。
74ls74是由四个D触发器串联而成的四位单向移位寄存器。
移位寄存器使其中所储存的二进制,在一位脉冲的作用下左右移动;一位触发器可以储存1位二进制代码,存放n位二进制就需要n个触发器构成。
2.8位移位寄存器设计原理图。
实验五寄存器电路设计一、实验目的l、掌握寄存器的工作原理、测试和分析其工作状态2、掌握集成双向移位寄存器和并行数据锁存器的功能及使用方法3、8位移位寄存器电路设计二、实验内容及步骤1、并行输入/并行输出寄存器功能测试表5.12、移位寄存器功能测试(1)用74LS74构成的4位单向移位寄存器表5.2(2) 74LSl94表5.3S1S0CP Dsr Dsl I3I2I1I0Q3Q2Q1Q0 0X X X X X X X X X00001X X0X X X X X X0000111X X d3d2d1d0d3d2d1d01011X X X X X11111010X X X X X0000110X1X X X X1111110X0X X X X0000100X X X X X X X00003、8D锁存器功能测试表5.4E D7D6D5D4D3D2D1D0Q7Q6Q5Q4Q3Q2Q1Q0 001011011000000000 011011011010110110 00011101100111011 01100010011000100 1X X X X X X X X X00000000注: “个”表示单脉冲上升沿4、8位移位寄存器电路设计(如不够可自行加纸)自制表格:Cr S1 S0 CP Dsr Dsl I3 I2 I1 I0 Q7 Q6 Q5 Q4 Q3 Q2 Q1 Q00 X X X X X X X X X 0 0 0 0 0 0 0 01 X X 0 X X X X X X 0 0 0 0 0 0 0 0 1 1 1 ↑X X 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 ↑0 X X X X X 0 0 0 0 0 0 0 0 1 0 1 ↑ 1 X X X X X 1 1 1 1 1 1 1 1 1 1 0 ↑0 X X X X X 0 0 0 0 0 0 0 0 1 1 0 ↑ 1 X X X X X 1 1 1 1 1 1 1 1 1 0 0 X X X X X X X 0 0 0 0 0 0 0 0实验仿真图:实验原理:实验主要用到用两片74LS194芯片构成8位移步寄存器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验五、移位寄存器的设计
一、实验目的
设计并实现一个异步清零同步置数8位并入并出双向移位寄存器电路。
二、实验原理
在数字电路中,用来存放二进制数据或代码的电路成为寄存器。
寄存器按功能可分为:基本寄存器和移位寄存器。
移位寄存器中的数据可以在移位脉冲作用下一次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出,十分灵活,用途也很广。
下面是一个并入串出的8位左移寄存器的VHDL描述:
library ieee;
use ieee.std_logic_1164.all;
port(data_in: in std_logic_vector(7 downto 0);
clk: in std_logic;
load: in std_logic;
data_out:out std_logic);
end;
architecture one of left8 is
signal q: std_logic_vector(7 downto 0);
begin
process(load,clk)
begin
if load='1' then
q<=data_in;
data_out<='Z';
elsif clk'event and clk='1' then
for I in 1 to 7 loop 图5-1
q(i)<=q(i-1);
end loop;
data_out<=q(7);
end if;
end process;
end one;
异步清零同步置数8位并入并出双向移位寄存器电路结构图如图5-1所示。
三、实验要求
输入信号有D[0]~D[7]、DIL、DIR、S、LOAD、CLK和CLR,其中CLK接时钟,其余接拨码开关,输出信号有Q[0]~Q[7],接发光二极管。
改变拨码开关的状态,观察实验结果。
实验工程项目命名为rlshift,源程序命名为rlshift8.vhd。
四、实验记录
对比较器实验结果造表,得到其真值表。
五、实验报告要求
1、详细叙述设计过程与实验步骤;
2、分析实验记录的正确性;
3、附程序清单。