数字电路设计数字钟实验设计报告
数字钟实习报告总结
实习报告总结:数字钟设计与实现一、实习背景与目的随着现代电子技术的快速发展,数字钟作为一种常见的电子设备,已经被广泛应用于日常生活和工业领域。
本次实习的主要目的是学习和掌握数字钟的设计与实现方法,培养自己的实际动手能力和创新思维能力。
二、实习内容与过程1. 数字钟的设计原理在实习初期,我首先学习了数字钟的设计原理,包括数字电路的基本组成、时钟信号的产生与处理、显示电路的设计等。
通过学习,我了解了数字钟的工作原理和设计思路,为后续的实际操作奠定了基础。
2. 硬件设计在硬件设计方面,我选择了常用的微控制器AT89S52作为数字钟的控制核心。
通过编程,实现了时钟信号的产生、分秒的计数和显示等功能。
同时,我还设计了按键输入电路,以便进行时间设置和调整。
3. 软件编程在软件编程方面,我使用了C语言进行编程。
通过编写程序,实现了数字钟的计时、显示等功能。
在程序设计过程中,我充分运用了所学的算法和编程技巧,提高了自己的编程能力。
4. 系统调试与优化在系统调试阶段,我通过不断测试和调整,发现并解决了数字钟运行中出现的问题。
同时,我对程序进行了优化,提高了数字钟的运行效率和稳定性。
三、实习收获与总结通过本次实习,我收获颇丰。
首先,我掌握了数字钟的设计原理和实现方法,为自己的实际工作积累了宝贵的经验。
其次,我在硬件设计和软件编程方面提高了自己的实际动手能力,为今后的职业发展打下了基础。
最后,我在实习过程中培养了团队协作意识和创新思维能力,对自己的人生发展具有积极意义。
同时,我也认识到自己在本次实习中存在的不足。
例如,在硬件焊接和调试过程中,我对一些细节处理不够到位,导致数字钟运行不稳定。
在今后的工作中,我将更加注重细节,提高自己的动手能力。
总之,本次实习使我受益匪浅。
通过学习和实践,我掌握了数字钟的设计与实现方法,提高了自己的实际动手能力和创新思维能力。
在今后的学习和工作中,我将继续努力,不断提高自己,为实现自己的职业目标奋斗。
大学数字钟实训报告
一、摘要本次实训旨在通过设计和制作一个数字时钟,加深对数字电子技术理论知识的理解,提高动手实践能力。
在实训过程中,我们学习了数字钟的原理、电路设计、元件选择、焊接调试等技能。
最终,我们成功制作出了一个具有时、分、秒显示功能的数字时钟,并通过实际运行验证了其功能。
二、实训目的1. 掌握数字电子钟的原理和设计方法。
2. 熟悉常用数字电路元件的功能和特性。
3. 提高动手实践能力,培养创新意识。
4. 增强团队协作精神,提高沟通能力。
三、实训内容1. 数字钟原理数字钟是一种将时间信息转换为数字信号,并通过数码管显示的电子计时设备。
其基本原理是利用石英晶体振荡器产生稳定的时钟信号,通过计数器进行计数,并通过译码器和数码管显示时间。
2. 电路设计本次实训采用以下电路设计:(1)时钟信号产生:利用555定时器产生1Hz的时钟信号。
(2)秒计数器:采用CD4060计数器,实现秒的计数。
(3)分计数器:采用CD4518计数器,实现分的计数。
(4)时计数器:采用CD4518计数器,实现时的计数。
(5)译码器:采用CD4511译码器,将计数器的输出信号转换为数码管所需的信号。
(6)数码管显示:采用共阴极七段数码管,显示时、分、秒。
3. 元件选择本次实训选用的元件如下:(1)时钟信号产生:555定时器、电阻、电容。
(2)计数器:CD4060、CD4518。
(3)译码器:CD4511。
(4)数码管显示:共阴极七段数码管。
(5)其他元件:电阻、电容、电位器、晶体管、开关等。
4. 焊接调试(1)按照电路图进行元件焊接。
(2)检查电路连接是否正确,并进行初步调试。
(3)调整电位器,使数码管显示正确的时间。
(4)测试电路功能,确保时、分、秒显示准确。
四、实训总结1. 通过本次实训,我们掌握了数字电子钟的原理和设计方法,熟悉了常用数字电路元件的功能和特性。
2. 在实训过程中,我们提高了动手实践能力,培养了创新意识。
3. 团队协作精神得到了加强,沟通能力得到提高。
数字电路数字时钟课程实验报告
数字时钟设计实验报告一、设计要求:设计一个24小时制的数字时钟。
要求:计时、显示精度到秒;有校时功能。
采用中小规模集成电路设计。
发挥:增加闹钟功能。
二、设计方案:由秒时钟信号发生器、计时电路和校时电路构成电路。
秒时钟信号发生器可由振荡器和分频器构成。
计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。
校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。
三、电路框图:图一数字时钟电路框图四、电路原理图:(一)秒脉冲信号发生器秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。
由振荡器与分频器组合产生秒脉冲信号。
振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz脉冲。
分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。
其电路图如下:译码器译码器译码器时计数器分计数器秒计数器校时电路秒信号发生器图二秒脉冲信号发生器(二)秒、分、时计时器电路设计秒、分计数器为60进制计数器,小时计数器为24进制计数器。
60进制——秒计数器秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。
当计数到59时清零并重新开始计数。
秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。
个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。
利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。
其电路图如下:图三 60进制--秒计数电路60进制——分计数电路分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。
数字钟实验报告
数字钟实验报告引言:数字钟是一种使用数字显示时间的时钟,它已经成为我们日常生活中不可或缺的一部分。
通过数字钟,我们可以准确地了解当前的时间,从而更好地安排自己的生活。
本实验旨在探究数字钟的原理和制作过程,并通过实际的制作过程加深对数字钟的了解。
一、原理介绍数字钟的原理基于电子技术和计时器的结合。
其中,主要包括以下几个部分:时钟芯片、数码管、控制电路以及电源等。
1.时钟芯片:时钟芯片是数字钟的核心部件,它内置了计时器和时钟功能。
通过时钟芯片,我们可以实现时间的自动更新和准确显示。
2.数码管:数码管是数字钟的显示部分,它由数根发光二极管组成,能够显示0-9的数字。
通过不同的控制电流和电压,数码管可以根据时钟芯片的指令来显示相应的数字。
3.控制电路:控制电路是连接时钟芯片和数码管之间的桥梁,它负责将时钟芯片输出的信号转换为数码管可识别的信号。
控制电路可以通过编码器、解码器和集线器等元件来实现。
4.电源:电源为数字钟提供所需的电能,将电能转换为供时钟芯片和数码管正常工作所需的电流和电压。
二、实验准备在进行实验之前,我们需要准备以下实验器材:晶体管、电阻器、电容器、发光二极管、电线、焊接工具等。
1.选择晶体管:在制作数字钟的过程中,我们需要选择合适的晶体管来实现数字的显示。
常见的晶体管有阳极、阴极共阳、阴极共阴等。
根据所需的显示效果选择不同类型的晶体管。
2.电阻器和电容器:电阻器和电容器是控制电路的重要组成部分,它们能够限制电流和调节电压,从而保证数字钟的正常工作。
3.焊接工具:焊接工具是将各个器材连接在一起的关键。
使用焊接工具进行焊接时,需要注意操作安全,确保焊点牢固。
三、实验步骤通过以下步骤,我们可以逐步完成数字钟的制作:1.划定电路板:首先,我们需要在电路板上进行标记,划定数字钟的各个部分的位置。
这一步骤旨在确保各个元件的安装位置准确无误。
2.安装元件:接下来,我们可以一步步安装各个元件。
首先,焊接晶体管和电阻器等固定元件,然后进行焊接。
数电课程设计报告数字钟的设计
数电课程设计报告第一章设计背景与要求设计要求第二章系统概述设计思想与方案选择各功能块的组成工作原理第三章单元电路设计与分析各单元电路的选择设计及工作原理分析第四章电路的组构与调试遇到的主要问题现象记录及原因分析解决措施及效果功能的测试方法,步骤,记录的数据第五章结束语对设计题目的结论性意见及进一步改进的意向说明总结设计的收获与体会附图电路总图及各个模块详图参考文献第一章设计背景与要求一.设计背景与要求在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦;数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用;数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路;设计一个简易数字钟,具有整点报时和校时功能;1以四位LED数码管显示时、分,时为二十四进制;2时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时;3整点报时采用蜂鸣器实现;每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束;4才用两个按键分别控制“校时”或“校分”;按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化;二.设计要求电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用;在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容;通过本次简易数字钟的设计,初步掌握电子线路的设计、组装及调试方法;即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;第二章系统概述设计思想与方案选择方案一 ,利用数字电路中学习的六十进制和二十四进制计数器和三八译码器来实现数字中的时间显示;方案二,利用AT89S51单片机和74HC573八位锁存器以及利用C语言对AT89S51进行编程来实现数字钟的时间显示;由于方案一通过数电的学习我们都比较熟悉,而方案二比较复杂,涉及到比较多我们没学过的内容,所以选择方案一来实施;简易数字钟电路主体部分是三个计数器,秒、分计数器采用六十进制计数器,而时计数器采用二十四进制计数器,其中分、时计数器的计数脉冲由校正按键控制选择秒、分计数器的溢出信号或校正10Hz计数信号;计数器的输出通过七段译码后显示,同时通过数值判断电路控制蜂鸣器报时;各功能块的组成分频模块,60进制计数器模块,24进制计数器模块,4位显示译码模块,正点报时电路模块,脉冲按键消抖动处理模块工作原理一.简易数字钟的基本工作原理是对1Hz标准频率秒脉冲进行计数;当秒脉冲个数累计满60后产生一个分计数脉冲,而分计数脉冲累计满60后产生一个时计数脉冲,电路主要由3个计数器构成,秒计数和分计数为六十进制,时计数为二十四进制;将FPGA开发装置上的基准时钟OSC作为输入信号通过设计好的分频器分成1Hz~10MHz8个10倍频脉冲信号;1Hz的脉冲作为秒计数器的输入,这样实现了一个基本的计时装置;通过4位显示译码模块,可以显示出时间;时间的显示范围为00时00分~23时59分;二.当需要调整时间时,可使用数字钟的时校正和分校正进行调整,数字钟中时、分计数器都有两个计数脉冲信号源,正常工作状态时分别为时脉冲和分脉冲;校正状态时都为5~10Hz的校正脉冲;这两种状态的切换由脉冲按键控制选择器的S 端来实现;为了更准确的设定时间,需要对脉冲按键进消抖动处理;三.电路在整点前10 秒钟内开始控制蜂鸣器报时,可采用数字比较器或逻辑门判断分、秒计数器的状态码值,以不同频率的脉冲控制蜂鸣器的鸣响;第三章单元电路设计与分析各单元电路的选择1分频模块,设计一个8级倍率为10 的分频电路,输出频率分别为1Hz 、10Hz、100 Hz、1k Hz、10k Hz、100k Hz、1 MHz、10MHz8组占空比为50%的脉冲信号;260进制计数器模块,采用两片74161级联;324进制计数器模块,采用两片74161级联;44位显示译码模块,由分频器,计数器,数据选择器,七段显示译码,3-8线译码器构成一个4位LED数码显示动态扫描控制电路;其中4位计数器用74161,数据选择器用74153,七段显示译码器部分采用AHDL硬件描述语言设计;5正点报时电路模块,该模块采用与门和数据选择器74153构成6脉冲按键消抖动处理模块,采用D触发器实现消抖动,从而能够比较精确地设定时间;设计及工作原理分析1分频模块要输出8级频率差为10倍的分频电路,可采用十进制计数器级联实现;集成十进制计数器的类型很多,比较常用的有74160、74162、74190、74192和7490等;这里采用7490来实现分频,7490是二-五-十进制加计数器,片上有一个二进制计数器和一个异步五进制计数器;QA是二进制加计数器的输出,QB、QC、QD是五进制加计数器的输出,位序从告到低依次为D,C,B;该分频器一共用到7片7490,初始信号输入到第一片7490的CLKB 端口,QD输出端连接到CLKA端,作为输入,从QA引出1MHz的output端口,并引线到第二片7490的CLKB端口,依此类推,直到第七片7490连接完成如附图所示;每片7490相当于一个五进制计数器和一个二进制计数器级联实现了十进制加计数,从而实现分频;分频模块图如图所示分频模块内部结构图如下图所示260进制计数器模块采用两片74161级联,如图,下面一片74161做成十进制的,初始脉冲从CLK输入,ENT和ENP都接高电平,而QD与QA用作为与非门的两个输入,与非门输出分别连接到自身的LDN端与上面一片74161的CLK端;上面一片74161的QC和QA端作为与非门的两个输入通过输出连接到自身的LDN,ENT 和ENP接高电平;下面一片实现从0000到1001即0~9十个状态码的计数,当下面一片为1001状态时,自身的LDN为低电平,此时QD,QC,QB,QA的状态恢复到0000,即从0开始从新计数,而上面一片74161的CLK电平改变,上面一片74161开始计数为0001,实现从0000~到0101即0到5六个状态码的计数,当上面一片状态为0101时,LDN为低电平,此时计数器为0000;这样子通过两片74161就实现了一个六十进制计数器;下图为六十进制计数器模块的示意图由六十进制计数模块构成的秒分计数如下图,下面那块六十进制技术模块表示为妙,上面那块六十进制计数模块表示为分;当妙计数模块的状态为0101 1001时,向分计数模块进位, 即通过74153M的输入C1,此时74153M输出接到分计数模块的输入端 ,通过74153M作为选择器,实现进位控制;324进制计数器模块采用两片74161级联,如图,下面一片74161做成十进制的,初始脉冲从CLK输入,ENT和ENP都接高电平,而QD与QA用作为与非门的两个输入分别连接到自身的LDN端与上面一片74161的CLK端;上面一片74161的QB非门的一个输入通过输出连接到自身的LDN,ENT 和ENP接高电平,并且上面74161的QB端和下面一块74161的QC端通过与非门输出接到两片74161的清零端CLRN;下面一片实现从0000到1001即0~9十个状态码的计数,当下面一片为1001状态时,自身的LDN为低电平,此时QD,QC,QB,QA的状态恢复到0000,即从0开始从新计数,而上面一片74161的CLK电平改变,上面一片74161开始计数为0001,实现从0000~到0010即0到2三个状态码的计数,当上面一片状态为0010即2时,下面一片状态为0100即4时,两块74161的CLRN为低电平,此时两块74161的状态都为0000,即实现了23时过后显示00时;这样子通过两片74161就实现了一个24进制计数器;下图为24进制计数器模块示意图由二十四进制计数模块构成的时计数模块如图,下面那块六十进制技术模块表示为分,上面那块24进制计数模块表示为时;当分计数模块的状态为0101 1001时,向时计数模块进位, 即通过74153M的输入C1,此时74153M输出接到时计数模块的输入端 ,通过74153M作为选择器,实现进位控制;二十四进制计数模块构成的时计数模块44位显示译码模块由分频器,计数器,数据选择器,七段显示译码,3-8线译码器构成一个4位LED数码显示动态扫描控制电路;4位计数器由74161构成;如下图所示74161构成的4位计数器数据选择器采用两片74153 和一片74153M两片74153实现连在一起实现对四个数字的选择,而一片74153M实现对小数点的选择;如下图所示74153M构成的数据选择器两片74153构成的数据选择器七段显示译码器部分采用AHDL硬件描述语言设计,语句如下:subdesign ymqdata_in3..0 :input;a,b,c,d,e,f,g :output;begintabledata_in3..0 =>a,b,c,d,e,f,g;b"0000" =>1,1,1,1,1,1,0;b"0001" =>0,1,1,0,0,0,0;b"0010" =>1,1,0,1,1,0,1;b"0011" =>1,1,1,1,0,0,1;b"0100" =>0,1,1,0,0,1,1;b"0101" =>1,0,1,1,0,1,1;b"0110" =>0,0,1,1,1,1,1;b"0111" =>1,1,1,0,0,0,0;b"1000" =>1,1,1,1,1,1,1;b"1001" =>1,1,1,0,0,1,1;b"1010" =>1,1,1,0,1,1,1;b"1011" =>0,0,1,1,1,1,1;b"1100" =>1,0,0,0,1,1,0;b"1101" =>0,1,1,1,1,0,1;b"1110" =>1,0,0,1,1,1,1;b"1111" =>1,0,0,0,1,1,1;end table;end;整个四位显示译码模块如图所示5正点报时电路模块该模块采用与门和数据选择器74153构成,如下图所示;7个输入端口的与门控制A,当时间在59分51s,53s,55s,57s,59s的时候,A为高电平1,当秒的个位数为9时,B为高电平1,A为1,B为0时,输出C1低频率信号,A为1,B为1时输出C3高频率信号,实现整点的不同频率的报时电路;整点报时电路模块6脉冲按键消抖动处理模块采用D触发器实现消抖动,从而能够精确地设定时间;校正状态为5HZ的校正脉冲,分频器输出的10HZ通过T触发器得到5HZ的校正脉冲;如图脉冲按键消抖动处理模块通过T触发器得到的5HZ校正脉冲第四章电路的组构与调试遇到的主要问题1在用74161做二十四进制计数器时,没有深入考虑,打算采用第一片六进制,第二片四进制级联而成,结果出现问题;2时、分调整按键没有安装消抖动装置;3在设置简易数字钟的分时,时计数器也会进;现象记录及原因分析1虽然也能够计数实现二十四进制,但是不能与七段显示译码器配合使用,不能显示直观的数值,这样给用户带来不便;2在下载调试的时候,我要进行时分调整,但是有时按一下子脉冲键会进两个数值,这样子给时分的设置带来了麻烦,原因是按键没有采用消抖动装置;3在调试的时候,打算通过按键调整分,但是发现时计数器也会进位,这就不符合要求了,原因是调整分时,各计数器都按正常状况在计数,所以会按正常情况产生进位;解决措施及效果1仍然采用两片74161,第一片可以从0~9,第二片只能从0~2,而且当第二片为2的时候,第一片到4的话就都清零复位,这样不仅实现了二十四进制计数器,而且能与七段显示译码器配合使用,直观的显示数字;2在脉冲控制按键上加上了D触发器,这样子可以达到消抖动的效果;3加上选择器,把两路信号分开,当调整分的时候,不对时计数器产生进位,这样子就不会产生十进位了,解决了这个问题;功能的测试方法、步骤,记录的数据1简易数字钟的测试,将电路图连好后,分析与综合,仿真,编译,下载到仪器上,表示秒的小数点按1Hz,占空比50%跳动,分从0~59计数,分过了59后,向时计数器进1;2整点点报时功能的测试,到了整点,即59分51s,53s,55s,57s时蜂鸣器低频率间断性鸣响,59分59秒时,蜂鸣器高频率鸣响一次;3时、分调整功能的测试,按分调整键,分按一定的频率逐次加一,但是时显示不变;按时调整键,时按一定的频率逐次加一,但是分显示不变;第五章结束语对设计题目的结论性意见及进一步改进的意向说明简易数字钟的设计中,主要运用了分频器,六十进制计数器,二十四进制计数器,动态扫描显示电路,选择器,按键消抖以及门电路等数字电路方面的知识;可以在简易数字钟的基础上加上24小时和12小时转换功能,秒表功能,闹钟功能,这样更能满足人们的使用需求;总结设计的收获与体会简易数字钟的设计及实验当中,我坚持了下来,上学期的数电我学的并不好,而且对软件应用的接受能力不强,刚开始的时候做的很慢,看到别人都做好了,心里比较着急,于是,我找出了数电课本,复习所涉及的知识点,并练习所学软件,终于有了进步,可以更上同学们的进度,但数字钟的设计一直困扰我,看到别人拓展功能都做好了,自己基本的都还没做好,心里很急;在设计的过程中,碰到了很多的困难,遇到了很多问题,不断地思考与尝试,以及向同学和老师请教,但还是没能完全设计好,以后有时间还得多去实验室尝试,争取做好一些拓展功能;通过这次设计,对上学期学习的数字电路的相关知识得到了复习和巩固,也查阅了一些相关的资料,也加深了我对数字电路应用的理解,总之这次的电子技术课程设计受益匪浅;参考文献:基于FPGA的数字电路系统设计西安电子科技大学出版社数字电子技术基础电子工业出版社数字电路与逻辑设计实验及应用人民邮电出版社附图1.分频模块分频器仿真波形下图为分频器线路图2.60进制计数器模块60进制计数器仿真波形3.24进制计数器模块24进制计数器仿真波形4. 4位显示译码模块七段显示译码器模块七段显示译码器部分采用AHDL硬件描述语言设计,语句如下:subdesign ymqdata_in3..0 :input;a,b,c,d,e,f,g :output;begintabledata_in3..0 =>a,b,c,d,e,f,g;b"0000" =>1,1,1,1,1,1,0;b"0001" =>0,1,1,0,0,0,0;b"0010" =>1,1,0,1,1,0,1;b"0011" =>1,1,1,1,0,0,1;b"0100" =>0,1,1,0,0,1,1;b"0101" =>1,0,1,1,0,1,1;b"0110" =>0,0,1,1,1,1,1;b"0111" =>1,1,1,0,0,0,0;b"1000" =>1,1,1,1,1,1,1;b"1001" =>1,1,1,0,0,1,1;b"1010" =>1,1,1,0,1,1,1;b"1011" =>0,0,1,1,1,1,1;b"1100" =>1,0,0,0,1,1,0;b"1101" =>0,1,1,1,1,0,1;b"1110" =>1,0,0,1,1,1,1;b"1111" =>1,0,0,0,1,1,1;end table;end;整个4位显示译码模块四位显示译码模块。
数电设计实验报告
一、实验目的1. 熟悉数字电路的基本组成和设计方法。
2. 学习组合逻辑电路和时序逻辑电路的设计与实现。
3. 掌握Verilog HDL语言进行数字电路的设计与仿真。
4. 提高数字电路分析与设计能力。
二、实验内容本次实验主要设计一个数字钟电路,要求实现以下功能:1. 显示时、分、秒,时间周期为24小时。
2. 时间基准为1秒对应1Hz的时钟信号。
3. 可通过按键进行校时。
三、实验原理数字钟电路主要由以下部分组成:1. 振荡器:产生基准时钟信号。
2. 分频器:将基准时钟信号分频,得到1Hz的时钟信号。
3. 计数器:对1Hz的时钟信号进行计数,实现秒、分、时的计时。
4. 显示器:将计时结果显示出来。
5. 校时电路:通过按键进行校时操作。
四、实验步骤1. 使用Verilog HDL语言编写数字钟电路的代码。
2. 使用ModelSim进行仿真,验证电路功能。
3. 将代码编译并下载到FPGA芯片上。
4. 在FPGA开发板上进行实验,测试电路功能。
五、实验代码```verilogmodule digital_clock(input clk, // 基准时钟信号input rst_n, // 复位信号,低电平有效 input set, // 校时按键output [5:0] h, // 时output [5:0] m, // 分output [5:0] s // 秒);reg [23:0] counter; // 计数器reg [23:0] h_counter; // 时计数器reg [23:0] m_counter; // 分计数器reg [23:0] s_counter; // 秒计数器// 时计数器always @(posedge clk or negedge rst_n) beginif (!rst_n) beginh_counter <= 24'd0;end else beginif (counter >= 24'd86400) beginh_counter <= h_counter + 24'd1;counter <= 24'd0;end else begincounter <= counter + 24'd1;endendend// 分计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) beginm_counter <= 24'd0;end else beginif (h_counter >= 24'd24) beginm_counter <= m_counter + 24'd1; h_counter <= 24'd0;end else beginm_counter <= m_counter + 24'd1; endendend// 秒计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) begins_counter <= 24'd0;end else beginif (m_counter >= 24'd59) begins_counter <= s_counter + 24'd1;m_counter <= 24'd0;end else begins_counter <= s_counter + 24'd1;endendend// 时、分、秒输出assign h = h_counter[5:0];assign m = m_counter[5:0];assign s = s_counter[5:0];endmodule```六、实验结果1. 仿真结果:使用ModelSim对代码进行仿真,验证电路功能。
eda数字钟实验报告
eda数字钟实验报告EDA数字钟实验报告本次实验旨在设计并实现一个EDA数字钟。
通过这个实验,我们将学习如何使用EDA工具来设计数字电路,并通过实际的电路实现来验证我们的设计。
1. 实验背景数字钟是我们日常生活中常见的设备之一。
它不仅可以显示时间,还具有闹钟等功能。
在这个实验中,我们将使用EDA工具来设计一个数字钟电路,并通过FPGA实现这个电路。
2. 实验目标本次实验的目标是设计一个能够显示小时、分钟和秒的数字钟电路。
我们将使用七段数码管来显示这些信息,并通过按键来设置时间和闹钟。
3. 设计思路我们的设计思路如下:3.1 时钟模块我们首先需要设计一个时钟模块,用来产生一个固定的时钟信号。
我们可以使用FPGA的时钟模块来实现这个功能,或者使用外部的晶振电路。
3.2 数码管驱动模块接下来,我们需要设计一个数码管驱动模块,用来将数字转换为七段数码管的显示信号。
我们可以使用查找表或者逻辑门电路来实现这个功能。
3.3 时间设置模块为了能够设置时间,我们需要设计一个时间设置模块。
这个模块可以通过按键来设置小时、分钟和秒。
3.4 闹钟设置模块类似于时间设置模块,我们还需要设计一个闹钟设置模块。
这个模块可以通过按键来设置闹钟的小时和分钟。
3.5 主控制模块最后,我们需要设计一个主控制模块,用来控制时钟、数码管驱动、时间设置和闹钟设置模块之间的交互。
这个模块可以根据设置的时间和闹钟来控制数码管的显示。
4. 电路实现根据我们的设计思路,我们使用EDA工具来实现我们的数字钟电路。
我们使用VHDL语言来描述电路,并使用模块化的方式来组织我们的代码。
5. 实验结果经过实际的电路实现和测试,我们成功地实现了数字钟电路。
我们可以通过按键来设置时间和闹钟,并通过七段数码管来显示时间和闹钟。
6. 实验总结通过这个实验,我们学习了如何使用EDA工具来设计数字电路,并通过实际的电路实现来验证我们的设计。
我们深入了解了数字钟的工作原理,并学会了如何使用VHDL语言来描述电路。
数字电子钟设计实训报告
数字电子钟的设计【摘要】本系统由晶体振荡器、分频器、计数器、译码器、七段译码显示器和校准、报时电路组成,采用了CMOS或TTL系列(双列直插式)中小规模集成芯片。
总体方案设计由主体电路和扩展电路两大部分组成。
其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能,进行了各单元电路设计,总体安装、制作及调试。
数字钟是一种计时装置,不仅能替代指针式钟表,还可以运用到定时控制、自动计时及时间程序控制等方面,应用广泛。
【关键词】石英晶振、分频器、计数器、译码器、七段译码显示器、校准、整点报时。
第一章数字电子钟总体方案1.1数字电子钟总体方案的确定数字电子钟组成一般由振荡器、分频器、计数器、译码器及显示器等几部分组成。
石英振荡器产生的时标信号送到分频器,分频电路将时标信号分成秒脉冲,秒脉冲送入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。
“秒”的显示由两级计数器和译码器组成的六十进制计数器电路实现,“分“的显示电路与“秒”相同。
“时”的显示由两极计数器和译码器组成的二十四进制计数器电路实现。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计时器,可实现对一天24小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态0进行七段显示译码器译码,通过六位七段译码显示器显示出来。
整点报时电路根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。
校时电路时用来对“时”、“分”显示数字进行校对调整的。
数字电子钟总体方案框图图1.1.1 数字电子钟组成框图1.2数字电子钟电路组成数字电子钟组成一般由振荡器、分频器、计数器、译码器及七段译码显示器等几部分组成(如图1.2.1所示)。
数字钟电路实习报告
一、实习目的通过本次实习,使学生掌握数字钟电路的设计与制作方法,熟悉数字电路的组成及工作原理,了解集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法,提高动手能力和实际操作技能。
二、实习内容1. 数字钟电路原理及设计(1)数字钟电路原理数字钟电路主要由晶振、计数器、译码显示器、控制电路等组成。
晶振产生标准频率信号,经过计数器计数,然后由译码显示器显示时间。
控制电路负责对整个电路进行控制,如校时、报时等功能。
(2)数字钟电路设计本次实习采用74LS160、74LS90等集成电路进行设计。
具体电路如下:①晶振电路:选用32768Hz石英晶体振荡器,产生标准频率信号。
②计数器电路:采用74LS160计数器,构成24进制计数器,用于计时。
③译码显示器电路:采用共阴极LED显示器,显示时、分、秒。
④控制电路:采用74LS20与非门构成控制电路,实现校时、报时等功能。
2. 数字钟电路制作与调试(1)电路制作按照电路原理图,将元器件焊接在面包板上,注意焊接质量。
(2)电路调试①检查电路连接是否正确,无误后接通电源。
②观察LED显示器是否显示正常,若显示异常,检查电路连接。
③进行校时操作,调整时、分、秒,确保显示时间准确。
④进行报时功能测试,当时间到达整点前5秒,蜂鸣器发出蜂鸣声。
三、实习总结1. 通过本次实习,掌握了数字钟电路的设计与制作方法,了解了集成电路的引脚安排及逻辑功能。
2. 提高了动手能力和实际操作技能,培养了团队协作精神。
3. 了解了数字电路在实际应用中的重要性,为今后从事相关工作奠定了基础。
4. 发现了在制作过程中遇到的问题,如焊接质量、电路连接等,通过分析原因,找到了解决办法。
四、实习心得体会1. 在实习过程中,充分体会到理论知识与实践操作相结合的重要性。
只有将所学知识应用于实际,才能真正提高自己的动手能力。
2. 在遇到问题时,要善于分析原因,查找问题所在,积极寻求解决办法。
这样,不仅可以提高自己的解决问题的能力,还可以培养自己的耐心和毅力。
数字电路实验报告_北邮
一、实验目的本次实验旨在通过实践操作,加深对数字电路基本原理和设计方法的理解,掌握数字电路实验的基本步骤和实验方法。
通过本次实验,培养学生的动手能力、实验技能和团队合作精神。
二、实验内容1. 实验一:TTL输入与非门74LS00逻辑功能分析(1)实验原理TTL输入与非门74LS00是一种常用的数字逻辑门,具有高抗干扰性和低功耗的特点。
本实验通过对74LS00的逻辑功能进行分析,了解其工作原理和性能指标。
(2)实验步骤① 使用实验箱和实验器材搭建74LS00与非门的实验电路。
② 通过实验箱提供的逻辑开关和指示灯,验证74LS00与非门的逻辑功能。
③ 分析实验结果,总结74LS00与非门的工作原理。
2. 实验二:数字钟设计(1)实验原理数字钟是一种典型的数字电路应用,由组合逻辑电路和时序电路组成。
本实验通过设计一个24小时数字钟,使学生掌握数字电路的基本设计方法。
(2)实验步骤① 分析数字钟的构成,包括分频器电路、时间计数器电路、振荡器电路和数字时钟的计数显示电路。
② 设计分频器电路,实现1Hz的输出信号。
③ 设计时间计数器电路,实现时、分、秒的计数。
④ 设计振荡器电路,产生稳定的时钟信号。
⑤ 设计数字时钟的计数显示电路,实现时、分、秒的显示。
⑥ 组装实验电路,测试数字钟的功能。
3. 实验三:全加器设计(1)实验原理全加器是一种数字电路,用于实现二进制数的加法运算。
本实验通过设计全加器,使学生掌握全加器的工作原理和设计方法。
(2)实验步骤① 分析全加器的逻辑功能,确定输入和输出关系。
② 使用实验箱和实验器材搭建全加器的实验电路。
③ 通过实验箱提供的逻辑开关和指示灯,验证全加器的逻辑功能。
④ 分析实验结果,总结全加器的工作原理。
三、实验结果与分析1. 实验一:TTL输入与非门74LS00逻辑功能分析实验结果表明,74LS00与非门的逻辑功能符合预期,具有良好的抗干扰性和低功耗特点。
2. 实验二:数字钟设计实验结果表明,设计的数字钟能够实现24小时计时,时、分、秒的显示准确,满足实验要求。
电子实习数字钟实验报告
数字钟实验报告一、实验目的1. 学习数字电路的设计与实践,提高动手能力。
2. 了解和掌握数字电子钟的工作原理及制作方法。
3. 培养严谨的科学态度和良好的团队协作精神。
二、实验任务及要求1. 设计并制作一个具有时、分、秒显示功能的数字电子钟。
2. 电子钟应具备校时功能,能手动调整时、分。
3. 电子钟在24小时内整点报时,从59分50秒开始,每2秒钟响一声,共响5次。
4. 电子钟在6--22点之间每整点报时,23--5点之间整点不报时。
三、实验原理及设计思路1. 实验原理数字电子钟主要由石英晶体振荡器、分频器、计数器、译码器、显示器等组成。
石英晶体振荡器产生1Hz的基准信号,分频器将1Hz信号分频得到秒信号,计数器对秒信号进行计数实现时、分、秒的显示,译码器将计数器的输出信号转换为显示器所需的信号,显示器以数字形式显示时间。
2. 设计思路(1)选用合适的石英晶体振荡器,确保电子钟的走时准确。
(2)设计分频器,将1Hz信号分频得到秒信号。
(3)设计计数器,实现时、分、秒的计数功能。
(4)设计译码器,将计数器的输出信号转换为显示器所需的信号。
(5)设计显示器,以数字形式显示时间。
(6)设计校时电路,实现手动调整时、分功能。
(7)设计整点报时电路,实现整点报时功能。
四、实验步骤1. 搭建石英晶体振荡器电路,确保输出1Hz的基准信号。
2. 设计并搭建分频器电路,将1Hz信号分频得到秒信号。
3. 设计并搭建计数器电路,实现时、分、秒的计数功能。
4. 设计并搭建译码器电路,将计数器的输出信号转换为显示器所需的信号。
5. 设计并搭建显示器电路,以数字形式显示时间。
6. 设计并搭建校时电路,实现手动调整时、分功能。
7. 设计并搭建整点报时电路,实现整点报时功能。
8. 调试并优化电路,确保电子钟的正常运行。
五、实验结果与分析1. 实验结果经过以上步骤,我们成功制作了一个具有时、分、秒显示功能的数字电子钟。
实验结果显示,电子钟走时准确,能手动调整时、分,整点报时功能正常,符合实验要求。
数字电子钟设计报告(显示、调整、报时、万年历、闹钟、秒表)
目录一、引言 (2)二、方案论证选择 (3)2.1设计要求 (3)1.基本要求 (3)2.发挥部分 (3)2.2系统框图 (3)分钟+调整 (3)秒钟 (3)时钟+调整 (3)秒表 (3)闹钟功能 (3)定时报闹 (3)万年历功能 (3)三、电路仿真与设计 (4)3.1核心芯片及芯片管脚图 (4)3.2时、分计数电路模块设计 (4)3.3切换电路模块设计 (5)3.4调整电路模块设计 (6)(1)方案一:利用74125的三态。
(6)(2)方案二:利用74162的置数端(LOAD),置数调整。
(7)3.5整点报时电路模块设计 (8)3.6秒表电路模块设计 (9)3.6定时报闹电路模块设计 (11)3.7万年历电路模块设计 (12)四、遇到的问题.......................................................................... 错误!未定义书签。
五、心得体会.............................................................................. 错误!未定义书签。
一、引言电子钟亦称数显钟(数字显示钟),是一种用数字电路技术实现时、分、秒计时的装置,与机械时钟相比,直观性为其主要显著特点,且因非机械驱动,具有更长的使用寿命,相较石英钟的石英机芯驱动,更具准确性。
电子钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、码头、剧院、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大地方便。
相对于其他时钟类型,它的特点可归结为“两强一弱”:比机械钟强在观时显著,比石英钟强在走时准确,但是它的弱点为显时较为单调。
数字钟的核心即数字电子技术课程中有关时序逻辑电路、组合逻辑电路的内容。
这些也是我们学电子的学生应该掌握的最基本知识。
通过这次试验,不仅可以加深我对数字电子技术课程的理解,也可以提高自己的动手能力以及实际问题中解决问题的能力,培养对数字电子技术的兴趣。
数字钟实验报告
数字钟实验报告本次实验旨在通过搭建数字钟电路,实现显示时间的功能。
实验所需材料有,数字管、集成电路、电阻、电容、开关、LED灯等。
首先,我们按照电路图连接好各个元件,然后接通电源,观察数字管上显示的时间是否准确。
在实验过程中,我们还发现了一些问题,并进行了相应的解决方法。
在实验开始之前,我们首先对实验所需的元件进行了准备工作。
然后按照电路图连接好数字管、集成电路、电阻、电容、开关等元件,确保连接的稳固性和正确性。
接着,我们接通电源,发现数字管上的显示并不准确,有时会出现闪烁或者停止显示的情况。
经过仔细检查,我们发现是由于电阻值选择不当导致的,于是我们更换了合适的电阻,问题得以解决。
接着,我们对实验中出现的问题进行了总结和分析。
我们发现在电路连接过程中,要特别注意元件之间的连接方式和电阻、电容的数值选择,这对于电路的稳定性和准确性至关重要。
另外,实验中还需要注意防止元件的过热和烧坏,要时刻保持警惕,及时发现并解决问题。
通过本次实验,我们对数字钟的原理和搭建方法有了更深入的了解,也学会了在实际操作中如何发现问题并解决问题。
这对我们今后的学习和工作都具有一定的指导意义。
总的来说,本次实验取得了一定的成果,我们成功搭建了一个能够显示时间的数字钟电路,并且在实验过程中发现了一些问题并进行了解决。
通过这次实验,我们不仅学到了理论知识,也积累了实际操作经验,对我们的专业学习和未来的科研工作都具有一定的帮助和指导意义。
希望通过今后的实验和学习,我们能够进一步提高自己的动手能力和实际操作能力,为将来的科研工作打下坚实的基础。
同时,也希望能够将所学知识应用到实际工程中,为社会发展做出自己的贡献。
数字钟实习报告
实习报告:数字钟设计与实现一、实习目的本次实习的主要目的是通过设计和实现一个数字钟,深入理解数字电路的基本原理和应用,提高自己的实际操作能力和解决问题的能力。
同时,通过实习过程,了解电子钟表的工作原理,掌握数字电路的设计方法和流程。
二、实习内容1. 数字钟的设计:以单片机为核心,设计一个具有小时、分钟、秒数显示功能的数字钟。
2. 数字电路的实现:使用数字电路元件,如电阻、电容、二极管、三极管等,搭建数字钟的电路。
3. 程序编写:编写程序代码,实现数字钟的功能。
4. 系统调试:对数字钟进行调试,确保其正常运行。
三、实习过程1. 设计阶段:在老师的指导下,学习数字电路的基本原理,了解数字钟的设计方法。
通过查阅资料,确定数字钟的显示方式、时钟源等参数。
2. 实现阶段:根据设计方案,购买所需元件,搭建数字钟的电路。
在搭建过程中,遇到一些问题,如电路连接错误、元件损坏等,通过请教老师和同学,逐步解决问题。
3. 编程阶段:学习单片机的编程语言,如C语言,编写数字钟的程序代码。
在编程过程中,遇到一些难题,如时序问题、数据处理等,通过查阅资料、请教老师和同学,克服困难,完成编程。
4. 调试阶段:对数字钟进行调试,发现一些问题,如显示不稳定、时间误差等,分析原因,修改程序和电路,直至数字钟正常运行。
四、实习收获1. 理论知识:通过实习,掌握了数字电路的基本原理和单片机编程技术,为今后的学习和工作奠定了基础。
2. 实际操作能力:在实习过程中,学会了使用电子工具,如万用表、示波器等,提高了自己的实际操作能力。
3. 团队协作能力:在实习过程中,与同学们共同解决问题,分工合作,培养了团队协作能力。
4. 解决问题能力:在实习过程中,遇到许多问题,如电路连接错误、编程难题等,通过请教老师、查阅资料和与同学讨论,逐步解决问题,提高了自己的解决问题的能力。
五、实习总结通过本次实习,我对数字电路和单片机编程有了更深入的了解,提高了自己的实际操作能力和解决问题的能力。
电子时钟实验报告
实验6 数字电子钟的设计一、实验目的1、学会综合运用常用电路单元设计数字系统2、学会组装调试技术3、完成数字钟的基本功能及扩展电路的设计任务二、实验原理数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。
它的计时周期为12小时,显示满刻度为12时59分59秒,另外有报时功能。
因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。
干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、整点报时电路组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。
将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用12进制计时器,可实现对12小时的累计。
译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过六位LED 七段显示器显示出来。
整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。
1、振荡器振荡器的作用是产生时间标准信号。
数字钟的精度就是主要取决于时间标准信的频率和稳定度。
2、计数器根据计数周期分别组成两个60进制(秒、分)和一个12进制(时)的计数器。
把它们适当连接可以构成秒、分、时的计数,实现计时功能。
3、译码和数码显示电路译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。
可被人们的视觉器官所接受。
显示器件选用LED七段数码管。
在译码显示电路输出信号的驱动下,显示出清晰直观的数字符号。
4、报时电路当数字钟显示整点时,应能报时。
要求当数字钟的“分”和“秒”计数器计到59分50秒时,驱动音响电路。
5、原理框图6、实现方案自行选择芯片,例如74LS90、74LS192、74LS160、74LS161、555、晶振等常用数字电路集成电路,来完成电路的设计与调试,并最终完成设计。
多功能数字钟设计实验报告
多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。
然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。
本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。
二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。
为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。
2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。
我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。
3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。
用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。
4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。
通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。
5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。
三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。
2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。
3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。
包括时间显示、日期显示、闹钟功能、温湿度显示等。
4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。
可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。
5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。
例如,优化显示效果、增加功能扩展等。
电子数字钟的实训报告
一、实训目的本次实训旨在让学生掌握电子数字钟的基本原理和制作方法,了解数字电路的设计与调试过程,提高学生的实践能力和创新意识。
二、实训内容1. 电子数字钟的原理及组成电子数字钟主要由以下几个部分组成:(1)晶振电路:提供稳定的时钟信号。
(2)计数电路:将晶振信号进行分频,产生1秒、1分、1小时等时间单位。
(3)译码电路:将计数电路输出的数字信号转换为相应的显示信号。
(4)显示电路:将译码电路输出的显示信号显示在数码管上。
(5)校时电路:用于调整时钟的显示时间。
2. 电子数字钟的制作过程(1)根据设计要求,选择合适的电子元器件。
(2)设计电路原理图,并绘制PCB板。
(3)焊接PCB板,组装电路。
(4)调试电路,确保时钟正常运行。
三、实训步骤1. 晶振电路的制作(1)选用14.31818MHz晶振。
(2)设计电路原理图,选用合适的振荡电路。
(3)焊接电路,检查无误后,接入电源。
2. 计数电路的制作(1)选用CD4518、CD4511等计数芯片。
(2)设计电路原理图,实现1秒、1分、1小时等时间单位的计数。
(3)焊接电路,检查无误后,接入晶振电路。
3. 译码电路的制作(1)选用CD4511、CD4511等译码芯片。
(2)设计电路原理图,将计数电路输出的数字信号转换为相应的显示信号。
(3)焊接电路,检查无误后,接入计数电路。
4. 显示电路的制作(1)选用8位数码管。
(2)设计电路原理图,实现时分秒的显示。
(3)焊接电路,检查无误后,接入译码电路。
5. 校时电路的制作(1)选用按键、电阻、电容等元件。
(2)设计电路原理图,实现时钟的校时功能。
(3)焊接电路,检查无误后,接入译码电路。
6. 整体调试(1)检查电路连接,确保无短路、断路现象。
(2)接入电源,观察时钟是否正常运行。
(3)调整校时电路,使时钟显示准确。
四、实训总结通过本次实训,我们掌握了电子数字钟的基本原理和制作方法,了解了数字电路的设计与调试过程。
eda数字钟实验报告
eda数字钟实验报告一、实验目的与背景数字钟是一种在现代社会中广泛应用的时间显示器,其具有精度高、易于观察、维修方便等特点。
本次实验旨在通过使用EDA 软件,实现数字钟的制作,以便更好地了解数字时钟的原理及其设计过程。
二、实验器材与软件器材:电脑、EDA软件、闹钟模块、LCD液晶显示器、电源线、按键开关、电阻等。
软件:Protues、Keil、Proteus VSM等。
三、设计过程1. 硬件设计(1)指示器:使用了LCD液晶显示屏来显示时间。
其可显示当前的小时、分钟、秒等信息。
(2)核心控制器:使用了AT89S52单片机作为核心,用来控制整个数字时钟的运行。
(3)时钟电路:使用DS1302时钟芯片来实现时钟计时功能。
该芯片具有高精准度、低功耗等特点,能够提供准确的时间信号。
(4)外设控制:使用了按键开关、蜂鸣器等外设来实现数字时钟的启停、闹钟设置等功能。
2. 软件设计(1)包含了时间可视化方案的设计。
(2)编写了大量的实时驱动程序,使计时、位置更新、操作循环等功能得到实现。
(3)事件触发机制设计,使得按键响应、报时提示等功能得到实现。
(4)根据时钟电路信号进行时钟校准等相关处理。
四、实验结果通过实验,我们成功地制作出了一个高精度、功能齐全、操作简便的数字时钟。
该时钟可以准确地显示当前时间,同时根据设置还可以产生报时提示,启动或关闭闹钟等功能。
五、实验总结通过本次实验,我们对数字时钟的原理和设计过程有了更加深入的理解,增加了对数字电路的整体认识。
同时,我们还掌握了EDA软件的使用方法和调试技术。
希望今后能够在数字电路设计和嵌入式系统开发中能够有更好的发挥。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字钟实验设计报告
数字钟设计
一设计任务
1. 基本功能:以数字形式显示时、分、秒的时间,小时的计时要求为“ 24
翻 1 ”,分和秒的计时要求为60 进位;
2. 扩展功能:校时、正点报时及闹时功能;
二电路工作原理及分析
数字电子钟主要由以下几个部分组成:秒信号发生器,时、分、秒计数器,显示器,校时校分电路,报时电路。
2.1 数字钟的基本逻辑功能框图
图1 数字钟的基本逻辑功能框图
2.2 振荡器的设计
振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟的准确程度。
通常选用石英晶体构成振荡器电路。
一般来说,振荡的频率越高,计时精度越高。
如果精度要求不高则可以采用由集成逻辑门与R、C 组成的时钟源振荡器或集成电路计时器
555与R、C组成的多谐振荡器,电路参数如图2所示.接通电源后,电容C1被充电,当Vc上升到
2Vcc/3时,使vo为低电平,同时放电三极管T导通,此时电容C1通过R2和T放电,Vc下降。
当Vc下降到Vcc/3时,vo翻转为高电平。
电容C1放电所需时间为tpL=R2l n2 〜0.7R2C1当放电结束时,T截止,Vcc将通过R1、R2向电容器C1充电,一;Vc由Vcc/3上升到
2Vcc/3 所需的时间为
tpH=(R1+R2)C1ln2 〜0.7(R1+R2)C
当Vc 上升到2Vcc/3 时,电路又翻转为低电平。
如此周而复始,于是,是在电路的输出端就得到一个周期性的矩形波。
其振荡频率为
f=1/(tpL+tpH) 〜1.43/[(R1+2R2)C]
振荡周期:T=T1+T2=(R1+2R2)C1In2 得
R1+2R2=T/C1In2=0.142k
故选定R1=0.1K,R2=0.021k
图2 555振荡器(图中R1, R2值不为实际值)
秒计数器电路由秒个位和秒十位,分个位和个位和时十位计数器电路构成,其中秒个位数器,分个位和分十位计数器为60进制计数位和时十位为24进制计数器。
三数字电路的设计
3.1计数电路的设计
由2个74LS90计数器和4个74LS290计数器组成的时分秒的计数电路
3.1.1六十进制计数电路
通过开
校时电路时
对调整的.
555振荡器产生的波形图3
秒计数器电路
2.3时、
分、
2.4校时电路
onjuF
CL
U.ltiF
时、
分、分十位
及时和秒十
位计器,而
关,触发器,逻辑门组成的校时电路来校时。
用来
对“时”、“分”、“秒”显示数字进行校
秒计数和分计数单元为60进制计数器,其输出为8421BCD码。
采用十进制计数器
74LS290来实现时间计数单元的计数功能。
由图可知,74LS90为异步清零计数器,有异
步清零端12,13脚(高电平有效)。
图4六十进制计数器
(1) 秒计数器电路的电路图如图4所示
秒个位计数单元为10进制计数器,无需进制转换,当QQQQ从1001变成0000时,
U向U的输入端发出一个脉冲信号,使秒十位进1位。
秒十位计数单元为6进制,当QQQQ变成0110时,通过与QQ相连的导线,给U两个清零端一个信号,把它的两个清零端都变成1,计数器的输出被置零,跳过0110到1111 的状态,又从0000开始,如此重复,十位和个位合起来就是60进制。
(2) 分计数器
分的个位和十位计数单元的状态转换和秒的是一样的,只是它要把进位信号传输给
时的个位计数单元,电路图如图4所示
3.1.2二十四进制计数器电路
时计数单元为24进制计数器,其输出为8421BCDS。
采用十进制计数器74LS90来
...U2 .......................
■二」
-INA-
INS-
:fH ■
i.02 -
74LS2C0D
74LS2-XID
;
-
A
实现时间计数单元的计数功能
时计数器电路的电路图如图
5所示
当“时”十位的QQQQ 为0000或0001时“时”的个位计数单元是十进制计数器, 当个位的
QQQQ 到1010时,通过与非门使得个位74LS90
上的清零端为0,则计数器的 输出直接置零,从0000开始。
当十位的QQQQ 为0010时,通过与非门使得该74LS90 的清零端为0, “时”的十位又
重新从0000开始,此时的个位计数单元变成 4进制,即
当个位计数单元的 QQQQ 为0100时,就要又从0000开始计数,这样就实现了 “时” 24
进制的计数。
3.2校时电路的设计
aci ■ 10£,
> -LJrA - —5 > IW5・・・R
1
?4L59CB ・,
RC1 -・
-・
R£1
©
…?4LS&C£- 图5二十四进制计数器
数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。
如图6所示,当开关J1按下时,直接给分个位计数器一个脉冲信号,使分计数器进1位,同时不影响数字钟的
运行。
同理,由J2对时计数器进行校对
图6校时校分电路
3.3整点报时设计
仿广播电台正点报时电路的功能要求时:每当数字钟计时快要到正点时发出声响,通常按照4低音1高音的顺序发出间断声响,以最后一声高音结束的时刻是整点时刻。
每当数字钟计时快要到正点时发出声响,按照4低音1高音的频率发出间
断声响,前4低音声响频率为500HZ后1高音声响频率为1000HZ并以最后一声高音结束的时刻为正点时刻。
本设计中,报时电路采用TTL与非门。
报时电路如图1.5 所示。
4声低音分别发生在59分51秒、53秒、55秒及57秒,最后一声高音发生在59分59秒,声响均持续1秒。
如表1.2所示。
由表可得式1.1 o只有当分十位的Q M2Q M=11,分个位的Q M Q M=11,秒个位的Q S2Q S=11及秒个位的Qs1=1时,音响电路才能工作。
A
321
貯
U1
U5 田
分+(抚
■L5S3C
+5V
U2
U
1
秒个欧3
J-U F-LH;
SQD
3.4报时电路的安装与调试
按照原理图及实物连线图接线。
报时音响电路采用三极管3DG13C来推动喇叭。
报时所
需的500Hz和1000Hz音频信号,分别取分频器的500Hz输出端和1000Hz输出端。
时,5QQHZ输入音响
〈式1 * 1
I T时,IKHZ输入音响
四主要芯片的技术参数
4.1 74LS90 芯片
74LS90芯片结构及引脚分布如图7所示,74LS90计数器是一种中规模的二一五进制
计数器。
它由四个主从JK触发器和一些附加门电路组成,整个电路可分两部分,其中F A 触发器构成一位二进制计数器;F D、F C、F B构成异步五进制计数器,在74LS90计数器电路中,设有专用置“ 0”端R、艮和置位(置“ 9”)端S i、S20
4.2 74LS290 芯片
74LS190 芯片的管脚分布如图8所示,其中,F9(1)、F9(2)称为置“9”端,R)(1)、R)
(2)称为置“0”端;A、B端为计数时钟输入端,QQQQ为输出端,NC表示空脚。
74LS290 具有以下功能:
置“9功能:当F9( 1)=F9(2)=1时,不论其他输入端状态如何,计数器输出
QQQQ=1001,
而1001 (2进制)=9 (10进制),故又称为异步置数功能
图7 74LS90芯片
置“(功能:当R (
1)和R 9⑵不全为1,并且R )(i )=R )⑵=1时,不论其他输入端状态 如何,计数器输出 QQQQ=OOOO ,故又称为异步清零功能或复位功能。
计数功能:当R (1)和R 9(2)不全为1,并且F 0(i )和R 0(2)不全为1时,输入计数脉冲, 计数器开始计数。
五心得体会
通过这次综合试验设计,大大提高了我分析问题的能力,同时提高了运用电工领域有 关的软件进行电路模拟仿真的能力,将自己在课堂上学到的数电知识得到充分发挥,解 决了很多问题,同时学到了很多元件和芯片的各种用途及性能,从中学到了很多书上没 有明白的问题
本次的课程设计,是对所学的数电知识的一次综合应用,既考验了我的知识掌握程 度,也锻炼了我的动手能力。
在此过程中,我学到很多新知识,对电工电子课程的学习 也更有兴趣了。
虽然此次课程设计花费了一番功夫,却让我收获了很多,让我知道了学 无止境,永远不能满足现有的知识,人生就像在爬山,一座山峰的后面还有更高山峰在等 着你。
在这次数字电子钟课程设计中,也非常感谢同学的帮助!
4 3 2 *r Q 9 8
图8 74LS290芯片。