江苏大学实验报告纸

合集下载

苏五上二三单元实验报告单

苏五上二三单元实验报告单

苏五上二单元实验报告单1、光的行进学校年级班组2014年11月1.关于光现象,我的解释是:(1)汽车的灯光(2)树林中的光线(3)云彩中的光线2.我设计的观察光在水中行进方向的方法:2、照镜子学校年级班组2014年11月1.通过做镜子的反光实验,我有以下发现:2.用箭头画出潜望镜的工作原理:。

3.把不锈钢勺子的正反面分别对着自己,我发现:3、研究透镜学校年级班组2014年11月研究内容凸透镜凹透镜镜片特点是否放大能否聚光成像特点3、研究透镜学校年级班组2014年11月研究内容凸透镜凹透镜镜片特点是否放大能否聚光成像特点4、七色光学校年级班组2014年11月1.制造彩虹和旋转气色圆盘后,我的发现和解释是:2.滤光实验后,我看到:在蒙红色玻璃纸的手电筒照射下,白色物体呈;在蒙的手电筒照射下,白色物体呈;在蒙的手电筒照射下,白色物体呈。

我的结论:。

3.观察不同颜色玻璃纸下的图案,我的发现和解释是:。

三单元实验报告单学校年级班组2014年11月1、简单电路画出让一只小灯泡亮起来的方法:加开关后的简单电路:串联电路并联电路三单元实验报告单学校年级班组2014年11月1、简单电路画出让一只小灯泡亮起来的方法:加开关后的简单电路:串联电路并联电路2、导体和绝缘体学校年级班组2014年11月物品名称预测现象实际检测现象小灯泡亮小灯泡不亮小灯泡亮小灯泡不亮2、导体和绝缘体学校年级班组2014年11月物品名称预测现象实际检测现象小灯泡亮小灯泡不亮小灯泡亮小灯泡不亮3、探测暗盒里的电路学校年级班组2014年11月小灯泡A--B B--A A--C C--A B--C C--B B--D D--B A--D D--A C--D D--C 接点亮灭我画出来的暗盒里的电路:3、探测暗盒里的电路学校年级班组2014年11月小灯泡A--B B--A A--C C--A B--C C--B B--D D--B A--D D--A C--D D--C 接点亮灭我画出来的暗盒里的电路:4、研究磁铁学校年级班组2014年11月磁极相互作用的实验记录磁极靠近观察到的现象S极与S极N极与N极S极与N极N极与S极更根据实验现象,我认为磁极相互作用的规律是:磁铁在生活中的应用物品名称用途应用到的磁铁性质5、电磁铁学校年级班组2014年11月电磁铁磁力的大小与哪些因素有关的实验报告问题:电磁铁的磁力大小与什么有关?假设:实验设计:实验结果:改变因素吸回形针个数第一次第二次第三次结论:电磁铁的磁力大小与因素有关。

江苏大学化工原理实验二__离心泵的性能测定

江苏大学化工原理实验二__离心泵的性能测定

实验二 离心泵的性能测定实验报告一、 实验目的1. 熟悉离心泵的操作,了解离心泵的结构和特性。

2. 学会离心泵的特性曲线的测定方法。

3. 了解单级离心泵在一定转速下的扬程、轴功率、效率和流量之间的关系。

二、 实验原理离心泵的特性主要指泵的流量、扬程、效率和功率,在一定的转速下,离心泵的流量、扬程、效率和功率均随流量的改变而改变。

即离心泵的三条特性曲线:①扬程和流量的特性曲线()e e Q f H =; ②功率消耗和流量的特性曲线()e Q f N =轴; ③效率和流量的特性曲线()e Q f =η。

与离心泵的设计、加工情况有关,需由实验测定。

三条特性曲线中的Q e 和N 轴由实验测定。

H e 和η由以下格式计算: 由伯努利方程可知:gu u h g pg p H e 22120012-++-=ρρ即gu u h H H H e 221200-+++=真空表压强表 式中:He ——泵的扬程(m ——液柱)压强差H ——压强表测得的表压 真空表H ——真空表测得的真空度 0h ——压强表和真空表中心的垂直距离 0u ——泵的出口管内流体的速度1u ——泵的进口管内流体的速度g ——重力加速度流体通过泵之后,实际得到的有效功率:102ρe e e Q H N =;离心泵的效率:轴N Ne =η。

在实验中,泵的轴功率由所测得的电机的输入功率N 入计算:入电传轴N N ηη=; 式中:e N ——离心泵的有效功率 e Q ——离心泵的输液量 ρ——被输送液体的密度 入N ——电机的输入功率 轴N ——离心泵的轴功率 η——离心泵的效率传η——传动效率,联轴器直接传动时取1.00三、 实验流程1.离心泵2.真空表3.压力表4.流量计5.循环水箱6.引水阀7.上水阀8.调节阀 9.排水阀 10.底阀四、 实验操作步骤1.关闭调节阀。

2.开启引水阀,反复开启和关闭放气阀,尽可能排除泵内的空气。

排气结束,关闭引水阀。

数字逻辑电路课程设计报告江苏大学

数字逻辑电路课程设计报告江苏大学

数字逻辑电路实验报告姓名:班级:学号:指导老师:耿霞学校:江苏大学目录一、实验目的 (3)二、设计要求 (3)三、具体设计思路 (3)1.24进制计数器的设计 (4)2.60进制计数器的设计 (5)3.二路选择器的设计 (6)4.分频器的设计 (6)5.动态扫描的涉及 (7)6.整点报时功能的设计 (8)7.选择显示与闹钟设置的设计 (9)四、顶层图 (10)五、各个模块 (11)1.计时模块 (11)2.整点报时与闹钟模块 (11)六、设计总结 (12)一:实验目的1.学会应用数字系统方法进行电路设计;2.进一步学会应用Quartus软件开发应用能力;3.培养综合实验的能力。

二:设计要求设计一个多功能数字时钟,具有以下几个功能:(1)能进行正常的时、分、秒计时。

①使用一个二十四进制和两个六十进制的计数器级联。

分计数器以秒计数器的进位作为计数脉冲,小时计数器以分计时器的进位作为计数脉冲。

②给秒1Hz 。

(2)可以使用以EP1C12F324C8为核心的硬件系统上的脉冲按键或者拨动开关实现“校时”,“校分”及清零功能。

(3)可以使用系统上的扬声器进行整点报时①计时到59分50秒时,每两秒一次低音报时,整点进行高音报时。

②低音报时用512Hz,高音报时用1kHz。

(4)设置闹钟,并连接扬声器实现闹铃功能。

①设定闹钟时间与新的计数器进行存储,与正常计时互不干扰。

②与正常计时状态进行切换。

③设定一个比较模块,当计时与闹钟相等时,驱动扬声器鸣叫。

④闹钟响声控制在一分钟之内,可以在一分钟设置按键取消闹时状态(5)用动态数码管显示时间。

①用6个数码管,分别用一组独立的七段码进行驱动显示,将小时高位到秒低位共6组时间经过7段译码,按照顺序锁定到数码管上。

②用动态扫描的方式显示。

③扫描频率越高越稳定。

三:具体设计思路利用按键实现“校时”,“校分”及清零功能。

(1)SA:校时键。

按下SA键时,时计数器迅速递增,按24小时循环,并且计满23时回到00.(2)SB:校分键。

普鲁卡因半数致死量(LD50)的测定和计算实验w1

普鲁卡因半数致死量(LD50)的测定和计算实验w1

J I A N G S U U N I V E R S I T Y药理学实验报告学院班级姓名学号时间2012.04.11江苏大学实验报告学院班级姓名学号实验五普鲁卡因半数致死量(LD50)的测定和计算实验一、实验目的通过实验了解测定药物LD50的方法、步骤和计算过程。

二、实验原理由于实验动物的抽样误差,药物能使动物致死的剂量大都在50%质反应的上下,成常态分布。

在急性毒物实验中50%质反应即所谓半数致死量(LD50)。

在这样的质反应中药物剂量和质反应间呈S型曲线,S型曲线的两端较平,而在50%质反应处的曲线斜率最大。

因此,这里的药物剂量稍有变动,则动物的死或活的反应出现明显差异,所以测定半数致死量能比较准确的反映毒性的大小,LD50数字越小,毒性越大。

三、实验材料实验动物:小鼠(体重17~25g);实验器材:鼠笼,天平,1mL注射器;实验药品:2%盐酸普鲁卡因溶液,苦味酸溶液。

四、实验方法和步骤1.探索剂量范围:取小鼠8~10只,以2只为一组,分成4~5组,选择剂量间距较大的一系列剂量,分别给各组腹腔注射盐酸普鲁卡因溶液,观察出现的症状并记录死亡数,找出引起0%及100%死亡率剂量的所在范围(致死量约在105~150mg/kg范围内)。

本步骤可由实验室预先进行。

2.正式试验:在预试验所获得的0%和100%致死量的范围内,选用几个剂量(一般用5个剂量,按等比级数增减,相邻剂量之间比例为1:0.7或1:0.8),各剂量组动物数为10只,分别用苦味酸标记。

动物的体重和性别要分层随机分配,完成动物分组剂量计算后按组腹腔注射给药。

最好先从中剂量组开始,以便能从最初几组动物接受药物后的反应来判断两端的剂量是否合适,否则可随时进行调整,尽可能使动物的死亡率在50%上下,死亡率为0%或100%时,不能用于计算。

实验以全班为一个单位,可以一个组观察一个剂量组(10只小鼠),或每组各作每一剂量组的2只小鼠。

务求用药量准确,注射方法规范,以减少操作误差,避免非药要物所致的死亡,得到较理想的结果。

三相异步电动机实验报告

三相异步电动机实验报告
10.启动过程中最小转矩的测定(仅对笼形电机)。
11.超速试验(对笼形转子电动机,仅在型式试验时进行)。*
12.振动的测定。*
13.噪声的测定。
14.转动惯量的测定。
15.短时升高电压试验。*
16.耐电压试验。*
其中后面标有*的为检查试验项目。第12项也可根据需要仅列为型式试验项目。
二、试验内容及方法
根据国家标准GB755-81《电机基本技术要求》规定,试验项目有型式试验和检查试验两种。
型式试验的目的是求取电机全部的工作特性和参数,以全面考察电机的电气性能和质量,从而判断该电机是否符合国家标准(或用户订货时所签订的技术要求),此外对型式试验的分析还可以制定出该电机出厂的性能标准。电机制造厂遇到下列情况之一时需进行电机的型式试验:
转子绕组损耗
负载试验计算
1
2
3
4
5
6
7
8
9
10
额定线电压 (V)
线电流 (A)
相电流 (A)
输入功率 (W)
定子铜耗 (W)
电磁功率 (W)
转差率
转子铝耗 (W)
杂散损耗 (W)
总损耗 (W)
输出功率 (W)
功率因数
(1)作曲线 ,并从曲线上求 时:
(2)计算额定转矩 =(注:额定功率单位为kW)
2. 空载试验测量
为分离铁耗 和机械损耗 ,作曲线
其中: ,
(四)堵转试验
(1)堵转试验的目的是求取额定电压时的堵转电流和堵转转矩(起动电流和起动转矩)。还可以利用堵转试验数据作圆图,求取额定转矩和最大转矩。
(2)堵转试验施于定子绕组的电压尽可能从不低于倍的额定电压开始,然后逐步降低电压至定子电流接近额定值为止,其间共测取5~7点读数,每点应同时测取下列数据:三相电压、三相电流、转矩、输入功率,每点测量及读数时,通电持续时间不应超过10秒,以免绕组过热。

江苏大学接口实验报告

江苏大学接口实验报告

通信网络接口编程实验报告学院:计算机科学与通信工程学院班级:姓名:学号:2014.05.08实验一、简单的客户机/服务器程序的实现一、说明1、建立项目时选择创建windows控制台程序,同时选择包含MFC类库。

所谓控制台程序指模拟的DOS字符提示,而不是windows应用程序的图形界面。

2、头文件说明 #include<....> 表示引用标准库的头文件。

(#include"..." 表示引用非标准库的头文件)3、每次做实验时都看一下“实验说明”文档。

二、实验要求1、读懂参考程序,说明客户机/服务器的地址定位、while(true)的作用等。

①客户机/服务器的地址定位的作用:发起通信连接的称为客户端,而等待呼叫请求的是服务器;②While(ture)的作用:连续监听是否有客户端连接,如果有客户机接入就可以向它发送信息。

2、调试参考程序,打印运行结果①客户端:3、(合理)调整客户机/服务器的端口,检查可行性4、改服务器的输送字符为控制台输入方法(用gets函数)运行结果:①服务端:②客户端:5、将客户机/服务器分开运行(客户机用本机地址运行,服务器安装到另一台机器上)多客户同时访问服务器,说明运行结果答:能同时进行访问。

6、说明并分析实验过程中遇到的问题、原因及解决方法答:遇到的问题:①修改了buf[]的容量后还是出现“烫烫烫……”。

原因:没改IP地址。

解决方法:将IP地址改成匹配的地址。

7、按以上要求完成实验报告8、思考实验中输入、输出缓冲区的匹配问题与TCP字节流传输方式有没有矛盾答:没有矛盾,因为输入、输出缓冲区的匹配问题导致了最终客户端接收的信息不完整,而TCP字节流传输方式是一种可靠的传输方式,也就是说保证了字节流在传输过程中不会存在比特信息位的丢失问题,所以两者并不矛盾。

三、参考程序客户端:#pragma comment(lib, "ws2_32.lib")#include<winsock2.h>#include<stdio.h>void main(){WSADATA wsaData;WSAStartup(MAKEWORD(2,2),&wsaData);SOCKET connSock=socket(AF_INET,SOCK_STREAM,0);SOCKADDR_IN servAddr;char buf[50];servAddr.sin_family=AF_INET;servAddr.sin_port=htons(9878);servAddr.sin_addr.s_addr=inet_addr("202.195.167.96");connect(connSock,(SOCKADDR*)&servAddr,sizeof(SOCKADDR_IN));recv(connSock,buf,50,0);printf("%s",buf);getchar();}服务器端:#pragma comment(lib,"ws2_32.lib")#include<winsock2.h>void main(){WSADATA wsaData;WSAStartup(MAKEWORD(2,2),&wsaData);SOCKET servSock,cliSock;SOCKADDR_IN servAddr;servAddr.sin_family=AF_INET;servAddr.sin_port=htons(9878);servAddr.sin_addr.s_addr=htonl(INADDR_ANY);servSock=socket(AF_INET,SOCK_STREAM,0);bind(servSock,(SOCKADDR*)&servAddr,sizeof(SOCKADDR_IN));listen(servSock,5);while(true){cliSock=accept(servSock,NULL,NULL);send(cliSock,"欧燕秋,加油↖(^ω^)↗",50,0);}}实验二、参数backlog测试一、实验内容与步骤1、send和recv函数中参数len的作用调整实验一中len值的大小,使其分别小于、大于实际写入/读出的值运行结果:①len值小于实际写入值,能显示实际值的部分的信息,大于实际值的出现“烫烫烫……”,如图:②len值大于实际写入值,能显示所有信息,如图:2、Listen函数中参数backlog的作用a) 将实验一中backlog的值设为5,分别让5个、6个、6个以上的客户端同时或间隔访问一个服务器,观察访问情况;答:将实验中的backlog的值定为1,让2个客户端同时或间隔访问一个服务器,两个客户端均能接收到信息。

改-无机及分析化学实验报告册(定稿)[1]

改-无机及分析化学实验报告册(定稿)[1]

无机及分析化学实验报告册学号: 1姓名: 1班级: 1二零一五年宁波大学材料科学与化学工程学院使用说明本实验报告册为方便同学学习将学生预习、实验、报告三个阶段合并在一起。

该使用说明提供了《无机及分析化学实验》课程的详细指导及学习要求,第一次使用时请认真阅读,并按照要求完成各项内容。

(1)首先阅读教学大纲和实验预备知识,做到充分了解课程的要求和内容;(2)学生在本课程学习过程中使用,并在课程结束一周内上交,务必保持干净整洁完整;这是期末成绩评价的主要依据之一,丢失者本课程作零分处理。

(3)学生在进入实验室开始实验之前,详细了解相应的操作,然后按照要求完成实验预习要求的部分①,否则不允许进行本次实验;(4)实验过程中要详细准确及时记录下获得的实验数据以及观察到的实验现象②,如遇到问题先自行思考解决,经过努力仍然不能解决的找指导教师帮忙;(5)实验结束后,先将实验记录交给教师审阅并签字同意后,再按照规定处理相关的实验试剂,做好卫生,经指导教师同意后方可离开实验室;(6)在实验结束一周内,完成本次实验报告中其余的内容。

注:①. 预习过程需要完成除原始记录、结果处理和实验小结的所有其余内容,每个实验项目的要求根据具体情况而定,详见具体项目;②.原始实验记录必须用蓝色或黑色水笔,不得使用红笔或铅笔,不得任意涂改,必须有教师签字,如果最终上交的实验报告册不符合此项要求,将不计入成绩。

编者2015年9月目录使用说明 (2)《无机及分析化学实验A》教学大纲 (3)化学实验课前必读 (4)一、主要教学任务 (4)二、主要教学要求 (4)三、分析化学实验室规则 (5)四、化学实验室常用试剂的分类 (6)化学实验项目 (7)实验一容量器皿的洗涤、基本操作及称量练习 (7)实验二醋酸标准解离常数和解离度的测定 (14)实验三氢氧化钠标准溶液配制标定及食醋总酸度的测定 (18)实验四EDTA标准溶液配制标定及自来水硬度的测定 (25)(1)指示剂加的量要合适,加多颜色深,使变色不敏锐,加少颜色太浅,不好观察。

干燥实验实验报告

干燥实验实验报告

江 苏 大 学实 验 报 告系别 食品科学与工程 班级 食品1002 姓名 周婧 学号3100901032干燥实验一、 实验目的1.学习流化床的操作,掌握流化床的一些基本概念。

2.测定流化干燥速率曲线。

3.研究热空气流速对干燥速率的影响(选做)。

4.研究热空气温度对干燥速率的影响(选做)。

5.测定流体通过颗粒层的压降(选做)。

二、实验原理在干燥设备的设计计算中,往往要了解物料由初始含水量降到最终含水量时,物料应在干燥器内的停留时间,然后就可计算各种干燥器的工艺尺寸。

由于干燥过程速率的资料。

通过测定干燥过程中物料的含水量或物料的表面温度与干燥时间的关系可以得到干燥曲线,即X ~τ曲线或τθ~曲线。

干燥速度u 等于单位时间从单位被干燥物料的面积上除去的水分重量,即τd A dW u ⋅=只要测出各个时间段内物料的失水量就可以计算物料的干燥速度。

干燥速度受很多因素的影响,它与物料及干燥介质都有关系。

在干燥条件不变的情况下,对于同类的物料,当干燥面积一定是,干燥速度是物料湿含量的函数,表示此函数关系的曲线成为干燥速度曲线。

干燥速度曲线也可由干燥曲线求出。

、流化操作状态因为具有较好的传热传质效果,在工业中得到广泛应用。

流化床干燥器是工业中常见的干燥器。

流体自下而上通过固体颗粒床层时,当流体的流速增大至一定程度时,全部颗粒刚好悬浮于向上流动的流体中而能作随机运动,床层处于起始流化状态或临界流化状态。

随后,流体流速增大,颗粒床层空隙率增大,但流体的实际速度超过颗粒的沉降速度时,达到气流输送状态。

流化可分为散式流化和聚式流化。

聚式流化的特点为:床层分为乳化相和气泡相。

乳化相为固体浓度大的气固均匀混合物,是连续相。

气泡相为气泡和可能夹带的少量固体颗粒,是分散相。

出于气泡在上界面处破裂,所以上界面是以某种频率上下波动的不稳定截面,床层压降也随之波动。

聚式流化见于大多数气—固系统。

散式流化的特点为:流体为连续相,固体颗粒均匀分散在流体中,床层没有气泡产生,有一稳定的上界面。

江苏大学计算机网络实验报告

江苏大学计算机网络实验报告

计算机网络实验报告班级:网络工程1102班姓名:董永学号:3110610055配置一个典型的网络系统1 实验目的在实验中,我们将学习如何配置一个典型的网络系统,其中硬件设施使用到路由器、三层交换机、二层交换机以及6台PC机,掌握具有三层交换的典型网络系统的配置。

2 实验要求同学实验以实验室的实验组(即一排机器)为单位组成实验小组,每个小组5-6位同学,自选一位组长,整个实验实行组长负责制,由组长负责协调各位同学的工作,通过全组的共同协作完成整个实验的任务,每组共同提交一份实验报告。

3 实验步骤3.1 网络的配置要求:依据本组的实验设备组建如图1所示的网络系统。

其中PC0和PC1组成一个VLAN,PC2、PC3组建一个VLAN,PC4和PC5组成一个VLAN,请通过路由器、交换机和PC的配置做到6台PC之间能互联互通。

具体配置方法和步骤由实验组内部讨论完成,请务必实验前完成讨论和在cisco Packet tracer软件中的配置工作,进实验室后直接由组长安排人员在真实设备上完成网络配置工作。

3.2 网络测试在不同的PC上访问其他各台机器,如果都可以表明测试通过。

步骤及截图1.网络配置(其中的S0/3/1被改为了S0/3/0)2.IP地址划分明细设备接口IP地址网关R1dy S0/3/0 192.168.12.2/24 fa0/1 172.16.1.1/24R2dy S0/3/0 192.168.12.1/24 fa0/1 172.17.2.1/24PC0 快速以太网口172.16.1.254/24 172.16.1.1PC1 快速以太网口172.17.2.253/24 172.16.1.1PC2 快速以太网口172.18.3.254/24 172.17.2.1PC3 快速以太网口172.18.3.253/24 172.17.2.1PC4 快速以太网口172.18.3.252/24 172.17.2.1PC5 快速以太网口172.18.3.251/24 172.17.2.1 3.先对路由器和交换机改名,1. 根据表2配置各设备中接口的IP地址。

江苏大学计算机网络实验报告

江苏大学计算机网络实验报告

计算机网络实验报告册实验一交换机基本配置实验学时:2学时实验类型:验证实验要求:必修一、实验目的1.掌握桌面网络组建方法2.掌握Quidway S系列中低端交换机几种常见配置方法二、实验内容1. 通过Console口搭建配置环境2. 通过Telnet搭建配置环境3. 熟悉VRP的各种视图及各视图下的常用命令三、实验原理、方法和手段1. 交换机配置方式交换机通常的配置方式有:Console方式telnet方式web方式modem拨号方式2. 命令行接口Command-line Interface华为网络设备中运行的操作VRP ( Versatile Routing Platform) 向用户提供一系列配置命令以及命令行接口,方便用户配置和管理网络设备,包括以太网交换机。

命令行有如下特性:通过Console口进行本地配置通过telnet进行本地或远程配置通过modem拨号登录到网络设备进行远程配置配置命令分级保护,确保未授权用户无法侵入到网络设备用户可以随时键入<?>以获得在线帮助1提供网络测试命令,如tracert、ping等,迅速诊断网络是否正常提供种类丰富、内容详尽的调试信息,帮助诊断网络故障用telnet命令直接登录并管理其它网络设备提供ftp服务,方便用户上载、下载文件提供类似Doskey的功能,可以执行某条历史命令命令行解释器对关键字采取不完全匹配的搜索方法,用户只需键入无冲突关键字即可解释四、实验组织运行要求1.学生在进行实验前必须进行充分的预习,熟悉实验内容;2.学生严格遵守实验室的各项规章制度,注意人身和设备安全,配合和服从实验室人员管理;3.要求独立完成实验,教师可以给予一定的辅导;4.教师检查学生的实验结果;5.综合评定学生的实验成绩。

五、实验条件1. 华为Quidway S/思科Catalyst 2960/中兴ZXR10交换机2. 计算机一台、配置电缆、局域网环境六、实验步骤通过Console口搭建配置环境1. 如图1-2,建立本地配置环境,只需将微机(或终端)的串口通过配置电缆与以太网交换机的Console口连接。

江苏大学软件测试实验报告

江苏大学软件测试实验报告

软件测试结题报告图书管理系统测试课程名称:软件测试姓名:学号:专业:学院:计算机科学与工程学院目录1.测试需求分析 (3)1.1系统概述 (3)1.2测试需求 (3)2. 测试概要 (4)3.测试计划 (4)3.1测试方案的选择 (4)3.2测试方案: (5)3.3测试项目 (5)3.4测试准备 (6)3.5 测试覆盖率要求 (6)4.测试项目说明 (6)4.1测试项目名称及测试内容 (6)4.2测试用例 (7)5.对软件功能的结论 (21)5.1功能1(系统登录) (21)5.2功能2(图书管理测试) (21)5.3功能3(图书查询测试) (22)5.4功能4(系统管理测试) (22)5.5功能5(借书测试) (22)5.6功能6(还书测试) (22)6.测试评价与结论 (22)6.1能力 (22)6.2缺陷和限制 (23)6.3建议 (23)7.总结 (23)8.参考资料 (23)1.测试需求分析1.1系统概述本图书管理系统是一款功能非常强大的图书管理软件,本系统在继承了以往系统版本优点的基础上做了进一步优化;在功能上,本系统不仅包含图书管理的常用功能(如书籍管理、期刊管理、物品管理、读者管理、借、还、预借、续借和统计分析等等功能),而且还增加了条码的生成和打印功能(不仅为使用者省去了购买价格昂贵的条码专用打印机的费用,而且条码产生更方便,与系统结合更紧密)。

考虑到很多单位和学校有现成的身份IC卡(校园卡、会员卡等),为了有效的利用这些已有资源,让使用者使用更方便,我们特在系统中加入了会员卡管理功能,这样,图书管理员不仅可以通过读者编号进行借阅操作,也可以通过已有的身份卡(配合刷卡机或者条码扫描抢使用)来完成操作;在系统的办卡管理中有新办卡、换卡和注销卡等功能,彻底解决丢卡后的安全隐患问题(向制卡公司定制卡时,一般会要求每张卡的ID号都不同,所以一旦换卡了,原来的会员卡就作废了,即使丢失卡被别人捡到也不能进行正常的借阅操作)。

光学显微镜的操作微生物形态观察实验报告

光学显微镜的操作微生物形态观察实验报告

实验一《光学显微镜的操作及微生物形态观察》标准实验报告适用专业:环境工程江苏大学生物与化工学院环境工程系2021年8 月一、实验目的1. 了解光学显微镜的结构、原理,掌握光学显微镜的操作和保养方法。

2. 观察微生物的个体形态,学会生物图的绘测。

二、实验要求1.遵守实验室安全制度,听从指导教师安排;2.认真听讲,不懂就问;3.完成实验报告三、实验仪器和材料1.光学显微镜、擦镜纸、香柏油或液体石蜡、二甲苯2.微生物示范片:大肠杆菌、金黄色葡萄球菌(或枯草芽孢杆菌)四、显微镜的结构、光学原理及其操作方法(一)显微镜的结构和光学原理显微镜分机械装置和光学系统两部分。

1.机械装置(1)镜筒:镜筒上端装目镜,下端接转换器。

镜筒有单筒和双筒两种。

单筒有直立式(长度为160mm)和后倾斜式(倾斜45°)。

双筒全是倾斜式的,其中一个筒有屈光度调节装置,以备两眼视力不同者调节使用。

两筒之间可调距离,以适应两眼宽度不同者调节使用。

(2)转换器:转换器装在镜筒的下方,其上有3个孔,有的有4个或5个孔。

不同规格的物镜分别安装在各孔上。

(3)载物台:戴物台为方形(多数)和圆形的平台,中央有一光孔,孔的两侧各装1个夹片,载物台上还有移动器(其上有刻度标尺),可纵向和横向移动,移动器的作用是夹住和移动标本用。

(4)镜臂:镜臂支撑镜筒、载物台、聚光器和调节器。

镜臂有固定式和活动式(可改变倾斜度)两种。

(5)镜座:镜座为马蹄形,支撑整台显微镜,其上有反光镜。

(6)调节器:调节器包括大、小螺旋调节器(调焦距)各一个。

可调节物镜和所需观察的物体之间的距离。

调节器有装在镜臂上方或下方的两种,装在镜上方的是通过升降镜臂来调焦距,装在镜臂下方的是通过升降载物台来调焦距,新式显微镜多半装在镜臂的下方。

2.光学系统及其光学原理(1)目镜:每台显微镜备有3个不同规格的目镜,例如,5倍(5×)、10倍(10×)和15倍(15×),高级显微镜除了上述三种外,还有20倍(20×)的。

江苏大学文献检索实习报告(通信)

江苏大学文献检索实习报告(通信)

《信息检索与利用》课程考核与实习报告(理工类)2010---2011学年第一学期指导教师:傅俏学院、班级:计算机科学与通信工程学院姓名、学号:报告完成日期_____________总成绩______________________说明:1.“课程考核与实习报告”为本课程考核形式,最迟请于课程结束后一周内完成。

2.提交形式:纸质文本以A4纸打印交给班长,由班长按学号整序后交给任课老师。

第一部分基础练习20分1、根据题录,按以下步骤查找原文。

5分E m b e d d e d s e l f-o r g a n i z e d c o m m u n i c a t i o n p r o t o c o l s t a c k f o r w i r e l e s s s e n s o r n e t w o r k sZ h e n g,J i e(D e p a r t m e n t o f E l e c t r o n i c E n g i n e e r i n g a n d I n f o r m a t i o n S c i e n c e,U n i v e r s i t y o fS c i e n c e a n d T e c h n o l o g y o f C h i n a,H e f e i230027,C h i n a);Q u,Y u-G u i;Z h a o,B a o-H u a S o u r c e: B e i j i n g Y o u d i a n D a x u e X u e b a o/J o u r n a l o f B e i j i n g U n i v e r s i t y o f P o s t s a n d T e l e c o m m u n i c a t i o n s, v32,n S U P P L.,p84-87,A p r i l2009L a n g u a g e:C h i n e s e(1)识别文献,指出是何种一次文献;(2)可通过哪些途径来查找?(3)可从我馆收藏的哪些类型的文献中获取全文?(4)列出此文的详细题录(包含外部特征和内容特征)。

江苏科技大学信号实验报告

江苏科技大学信号实验报告

实验一连续信号的时域分析一、实验目的1.熟悉lsim、heaviside 等函数的使用2.熟悉信号的时移、尺度变换、反转、相加、相乘、卷积等计算3.熟悉impulse、step 函数的使用二、实验内容1.利用Matlab的Symbolic Math Toolbox中单位阶跃函数heaviside 画出单位阶跃信号。

(1)实验代码:clc;clear;ut=sym('Heaviside(t)');ezplot(ut,[-2,10])(2)实验结果:(3)实验原理分析:在MATLAB 的Symbolic Math Toolbox 中,有专门用于表示单位阶跃信号的函数,即Heaviside(t)函数,用它即可方便地表示出单位阶跃信号以及延时的单位阶跃信号,并且可以方便地参加有关的各种运算过程。

如果一个信号或函数可以用符号表达式来表示,那么我们就可以用前面介绍的符号函数专用绘图命令ezplot()等函数来绘出信号的波形。

2.已知信号f(t) = (t+1)[U(t+1) – U(t)] + [U(t) – U(t+1)],试画出f(-t/3+1)的波形。

(1)实验代码:clc;clear;syms t;y1=sym(t+1);y2=sym('Heaviside(t+1)-Heaviside(t)');y3=sym('Heaviside(t)-Heaviside(t+1)');f=sym(y1*y2+y3);subs(f,t,t+1);subs(f,t,(1/3)*t);subs(f,t,-t);ezplot(f,[-4,6])(2)实验结果:(3)实验原理分析:信号的时移可用数学表达式来描述,在MATLAB 中,时移运算与数学上习惯表达方法完全相同。

若已知信号f(t),应用Matlab 可进行下列运算时移f(t-t0) 命令subs(f,t,t-t0)尺度变换f(at) 命令subs(f,t,at)反转f(-t) subs(f,t,-t)相加f(t)= f1(t)+ f2(t) Symadd(f1,f2) f1(t)+ f2(t)相乘f(t)= f1(t)×f2(t) Symmul(f1,f2) f1(t)*f2(t)卷积f(t)= f1(t)*f2(t) conv (f1,f2)此题调用subs( )函数对ft进行变换,最后用ezplot( )函数绘图。

江苏大学VHDL报告

江苏大学VHDL报告

J I A N G S U U N I V E R S I T Y 课程实验报告电子设计自动化实验报告学院:电气信息工程学院班级:学号::2015年10月实验一半加器和全加器的设计一、实验目的1.熟悉Max+plusⅡ软件的作用2.学习用图形输入方式和VHDL语言输入方式设计数字电路二、实验容1.图形输入方式设计半加器2.VHDL方式设计半加器library ieee;use ieee.std_logic_1164.all;entity half_adder11 isport(a,b : in std_logic;so,co : out std_logic);end entity half_adder11;architecture fh1 of half_adder11 is beginso<=(a xor b);co<=( a and b);end architecture fh1;3.图形输入方式设计全加器4.VHDL方式设计全加器library ieee;use ieee.std_logic_1164.all;entity or1 isport(a,b : in std_logic;c : out std_logic);end entity or1;architecture fu1 of or1 isbeginc<= a or b;end architecture fu1;library ieee;use ieee.std_logic_1164.all;entity h_a isport(a,b : in std_logic;so,co : out std_logic);end entity h_a;architecture fh1 of h_a isbeginso<=(a xor b);co<=(a and b);end architecture fh1;library ieee;use ieee.std_logic_1164.all;entity full_adder11 isport(ain,bin,cin : in std_logic;cout,sum : out std_logic); end entity full_adder11; architecture fd1 of full_adder11 is component h_aport(a,b : in std_logic;co,so : out std_logic);end component;component or1port(a,b : in std_logic;c : out std_logic);end component;signal d,e,f : std_logic;beginu1 : h_a port map(a =>ain,b =>bin,co =>d,so =>e);u2 : h_a port map(a =>e,b =>cin,co =>f,so =>sum);u3 : or1 port map(a =>d,b =>f,c =>cout);end architecture fd1;三、设计实现1.图形输入方式设计半加器2.VHDL方式设计半加器3.图形输入方式设计全加器4.VHDL方式设计全加器四、仿真波形半加器:全加器:实验二四选一数据选择器的设计一、实验目的1.熟悉Max+plusⅡ软件的作用2.学习用图形输入方式和VHDL语言输入方式设计数字电路二、实验容1.If语句library ieee;use ieee.std_logic_1164.all;entity mux41a isport(a,b,c,d : in std_logic_vector(3 downto 0);sel : in std_logic_vector(1 downto 0);y : out std_logic_vector(3 downto 0));end mux41a;architecture one of mux41a isbeginprocess(a,b,c,d,sel)beginif(sel="00") then y<=a;elsif(sel="01") then y<=b;elsif(sel="10") then y<=c;elsif(sel="11") then y<=d;end if;end process;end one;2.case语句use ieee.std_logic_1164.all;entity mux41b isport(a,b,c,d : in std_logic_vector(3 downto 0);sel : in std_logic_vector(1 downto 0);y : out std_logic_vector(3 downto 0));end mux41b;architecture one of mux41b isbeginprocess(a,b,c,d,sel)begincase sel iswhen "00" => y<=a;when "01" => y<=b;when "10" => y<=c;when "11" => y<=d;when others => y<=null;end case;end process;end one;3.when…else语句use ieee.std_logic_1164.all;entity mux41c isport(a,b,c,d : in std_logic_vector(3 downto 0);sel : in std_logic_vector(1 downto 0);y : out std_logic_vector(3 downto 0));end mux41c;architecture one of mux41c isbeginy<=a when sel="00" elseb when sel="01" elsec when sel="10" elsed when sel="11";end one;4.with…select…when语句library ieee;use ieee.std_logic_1164.all;entity mux41d isport(a,b,c,d : in std_logic_vector(3 downto 0);sel : in std_logic_vector(1 downto 0);y : out std_logic_vector(3 downto 0)); end mux41d;architecture one of mux41d isbeginwith sel selecty<=a when "00",b when "01",c when "10",d when "11",null when others;end one;三、设计实现四、仿真波形实验三可逆计数器的设计一、实验目的1.熟悉Max+plusⅡ软件的作用2.学习用图形输入方式和VHDL语言输入方式设计数字电路二、实验容l ibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bicnt isport(clk,rst,dir:in std_logic;q:buffer std_logic_vector(3 downto 0));end bicnt;architecture one of bicnt isbeginprocess(clk,rst,dir)beginif rst='1' then q<="0000";elsif(clk'event and clk='1')then if dir='0'then q<=q+1;else q<=q-1;end if;end if;end process;end one;三、设计实现四、仿真波形。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档