数电实验报告(一)

合集下载

数电实验报告一

数电实验报告一

姓名:谭国榕班级:12电子卓越学号:201241301132实验一逻辑门电路的研究一、任务1.熟悉实验室环境及实验仪器、设备的使用方法。

2.掌握识别常用数字集成电路的型号、管脚排列等能力。

3.熟悉74 LS系列、CMOS 4000B系列芯片的典型参数、输入输出特性。

4.掌握常规数字集成电路的测试方法。

二、实验设备及芯片双踪示波器(DF4321C)1台信号发生器(DF1641B1)1台数字万用表(UT58B)一台数电实验箱1个(自制)芯片2个:74LS04 CD4069 。

三、实验内容1.查阅芯片的PDF文件资料,分清管脚名与逻辑功能的对应关系及对应的真值表。

74LS04:CD4069:2.静态测试验证6非门74LS04、4069逻辑功能是否正常,并用数字万用表测量空载输出的逻辑电平值(含高、低电平)。

结论:由表格可以看出,CD4069输出的高电平比74LS04高,输出的低电平比74LS04低,所以CD4069的噪声容限相对于74LS04来说较大,故其抗干扰能力强。

3.动态测试测逻辑门的传输延迟时间:将74LS04、4069中的6个非门分别串接起来,将函数发生器的输出调为方波,对称,幅度:0-5V,单极性,加至第一个门的输入端,并用示波器的通道1观察;用示波器的通道2观察最后一个非门的输出信号,对比输入输出波形以及信号延迟时间。

调节方波信号:74LS04输出延迟特性:CD4069输出延迟特性:输出延迟时间的实验数据表:结论:74LS04的输出延迟比CD4069的输出延迟要短,说明前者的工作速度比后者快。

4.观察电压传输特性用函数发生器的输出单极性的三角波,幅度控制在5伏,用示波器的X-Y 方式测量TTL 、CMOS 逻辑门的传输特性,记录波形并对TTL 、CMOS 两种类型电路的高电平输出电压、低电平输出电压以及噪声容限等作相应比较。

(1) 调节函数发生器的输出:单极性三角波,对称,幅度:5V ,频率:500Hz ,从函数发生 器的下部50Ω输出端输出信号; 如图:(2) 扫描方式改为X-Y ,CH1、CH2 接地,调光标使其处于左下角附近;(3) CH1 用 2.0V/DIV (DC ),接函数发生器输出(即非门的输入);CH2 用 0.2V/DIV (DC ),接非门输出。

武大数电实验报告

武大数电实验报告

实验一:组合逻辑电路分析一、实验目的1. 熟悉组合逻辑电路的基本原理和设计方法。

2. 掌握74LS00和74LS20集成电路的使用。

3. 通过实验加深对逻辑门电路应用的理解。

二、实验原理组合逻辑电路是指输出信号仅与当前输入信号有关的电路。

本实验主要涉及74LS00四二输入与非门和74LS20双四输入与非门两种集成电路。

三、实验器材1. 74LS00集成电路2. 74LS20集成电路3. 逻辑分析仪4. 连接线四、实验内容1. 实验一:组合逻辑电路分析(1)使用74LS00和74LS20集成电路,设计一个简单的组合逻辑电路。

(2)记录输入信号和输出信号,分析电路的逻辑功能。

(3)根据实验结果,总结组合逻辑电路的设计方法和原理。

2. 实验二:密码锁开锁条件分析(1)分析密码锁开锁的条件:拨对密码,插入锁眼并接通电源。

(2)设计一个逻辑电路,实现密码锁的开锁和报警功能。

(3)分析密码锁的密码,确定密码ABCD的值。

五、实验步骤1. 实验一:(1)根据实验要求,设计组合逻辑电路,如图所示。

(2)连接好电路,使用逻辑分析仪观察输入信号和输出信号。

(3)记录输入信号和输出信号,分析电路的逻辑功能。

2. 实验二:(1)分析密码锁开锁条件,设计逻辑电路,如图所示。

(2)连接好电路,使用逻辑分析仪观察输入信号和输出信号。

(3)记录输入信号和输出信号,分析电路的逻辑功能。

六、实验结果与分析1. 实验一:根据实验结果,设计的组合逻辑电路能够实现预期的逻辑功能。

通过观察输入信号和输出信号,我们可以得出以下结论:(1)当输入信号满足特定条件时,输出信号为1,否则为0。

(2)组合逻辑电路的设计方法可以灵活运用,以满足不同的逻辑需求。

2. 实验二:根据实验结果,设计的密码锁逻辑电路能够实现开锁和报警功能。

通过观察输入信号和输出信号,我们可以得出以下结论:(1)当输入信号满足密码条件时,开锁信号为1,否则为0。

(2)密码锁的密码为ABCD=1001。

数电实验报告1

数电实验报告1

实验一门电路逻辑功能及测试一、实验目的1、熟悉门电路逻辑功能。

2、熟悉数字电路学习机及示波器使用方法。

二、实验仪器及材料1、双踪示波器2、器件74LS00 二输入端四与非门2片74LS20 四输入端双与非门1片74LS86 二输入端四异或门1片74LS04 六反相器1片三、预习要求1、复习门电路工作原理相应逻辑表达示。

2、熟悉所有集成电路的引线位置及各引线用途。

3、了解双踪示波器使用方法。

四、实验内容实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。

线接好后经实验指导教师检查无误方可通电。

试验中改动接线须先断开电源,接好线后在通电实验。

1、测试门电路逻辑功能。

(1)选用双输入与非门74LS20一只,插入面包板,按图连接电路,输入端接S1~S4(电平开关输入插口),输出端接电平显示发光二极管(D1~D8任意一个)。

(2)将电平开关按表1.1置位,分别测出电压及逻辑状态。

(表1.1)2、异或门逻辑功能测试(1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。

(2)将电平开关按表1.2置位,将结果填入表中。

表 1.23、逻辑电路的逻辑关系(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。

将输入输出逻辑关系分别填入表1.3﹑表1.4。

(2)写出上面两个电路的逻辑表达式。

表1.3 Y=A ⊕B表1.4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间的测量用六反相器(非门)按图1.5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd 值 : tpd=0.2μs/6=1/30μs 5、利用与非门控制输出。

选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S 对输出脉冲的控制作用:一端接高有效的脉冲信号,另一端接控制信号。

数电实验报告

数电实验报告

一,实验结果分析实验一:Quartus II 原理图输入法设计(2)实验名称:设计实现全加器实验任务要求:用实验内容(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真并验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。

原理图:仿真波形图:仿真波形图分析:输入a,b代表加数与被加数,输入c代表低位向本位的进位。

输出s代表本位和,输出co代表向高位的进位。

可得真值表为:实验三:用VHDL设计与实现时序逻辑电路(3)实验名称:连接8421计数器,分频器和数码管译码器实验任务要求:用VHDL语言设计实现一个带异步复位的8421码十进制计数器,分频器的分频系数为25k,并用数码管显示数字。

VHDL代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity div isport(clk1 : in std_logic;clk_out : out std_logic);end;architecture d of div issignal cnt : integer range 0 to 12499999;signal clk_tmp : std_logic;beginprocess(clk1)beginif (clk1'event and clk1='1') thenif cnt=12499999 thencnt<=0;clk_tmp<= not clk_tmp;elsecnt<=cnt+1;end if;end if;end process;clk_out<=clk_tmp;end;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count10 ISPORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END count10;ARCHITECTURE count OF count10 ISSIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk2,clear2)BEGINIF clear2='1' THEN q_temp<="0000";ELSIF (clk2'event AND clk2='1') THENIF q_temp="1001" THENq_temp<="0000";ELSEq_temp<=q_temp+1;END IF;END IF;END PROCESS;q<=q_temp;END count;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY seg7 ISPORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );END seg7;ARCHITECTURE show OF seg7 ISBEGINPROCESS(a)BEGINCASE a ISWHEN"0000"=>b<="1111110";WHEN"0001"=>b<="0110000";WHEN"0010"=>b<="1101101";WHEN"0011"=>b<="1111001";WHEN"0100"=>b<="0110011";WHEN"0101"=>b<="1011011";WHEN"0110"=>b<="1011111";WHEN"0111"=>b<="1110000";WHEN"1000"=>b<="1111111";WHEN"1001"=>b<="1111011";WHEN OTHERS=>B<="0000000";END CASE;END PROCESS;cat1<="111011";END show;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity jishuqi8421 isport(clk,clear:IN STD_LOGIC;cout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end jishuqi8421;architecture ji of jishuqi8421 iscomponent div25mport(clk1 : in std_logic;clk_out : out std_logic);end component;component count10PORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); end component;component seg7PORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end component;signal c:std_logic;signal d:std_logic_vector(3 downto 0);beginu1:div port map(clk1=>clk,clk_out=>c);u2:count10 port map(clk2=>c,clear2=>clear,q=>d); u3:seg7 port map(a=>d,b=>cout,cat1=>cat);end ji;仿真波形图:(由于实际使用的50000000分频不方便仿真,仿真时使用12分频)仿真波形图分析:每隔12个时钟信号计数器的值会增加1,直到计数器的值为9时,再次返回0计数。

数字电子技术 实验报告

数字电子技术 实验报告

实验一组合逻辑电路设计与分析1.实验目的(1)学会组合逻辑电路的特点;(2)利用逻辑转换仪对组合逻辑电路进行分析与设计。

2.实验原理组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。

根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。

图1-1 组合逻辑电路的分析步骤根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进行设计。

图1-2 组合逻辑电路的设计步骤3.实验电路及步骤(1)利用逻辑转换仪对已知逻辑电路进行分析。

a.按图1-3所示连接电路。

b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出简化表达式后,得到如图1-4所示结果。

观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。

因此这是一个四位输入信号的奇偶校验电路。

图1-4 经分析得到的真值表和表达式(2)根据要求利用逻辑转换仪进行逻辑电路的设计。

a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾探测器。

为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。

b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。

因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。

图1-5 经分析得到的真值表(3)在逻辑转换仪面板上单击由真值表到处简化表达式的按钮后得到最简化表达式AC+AB+BC。

4.实验心得通过本次实验的学习,我们复习了数电课本关于组合逻辑电路分析与设计的相关知识,掌握了逻辑转换仪的功能及其使用方法。

数电实验报告:实验1-门电路的测试

数电实验报告:实验1-门电路的测试

广东海洋大学学生实验报告书(学生用表)实验名称课程名称 课程号 学院(系)专业 班级 学生姓名 学号 实验地点 实验日期实验1:集成逻辑门电路的测试一、实验目的:1. 学会检测常用集成门电路的好坏的简易方法;2. 掌握TTL 与非门逻辑功能和主要参数的测试方法;二、实验仪器与器件:3. 元器件:74LS20、74LS00(TTL 门电路)电阻、电位器若干;4. 稳压电源、万用表、数字逻辑箱。

三、实验原理:5.集成逻辑门电路的管脚排列:(1)74LS20(4输入端双与非门):ABCD Y =V CC 2A 2B N C 2C 2D 2Y1A 1B N C 1C 1D 1Y GNDV CC :表示电源正极、GND :表示电源负极、N C :表示空脚。

(2) 74LS00(2输入端4与非门):AB Y =V CC 4A 4B 4Y 3A 3B 3Y1A 1B 1Y 2A 2B 2Y GNDGDOU-B-11-112(3)4011(2输入端4与非门):ABYV CC4A 4B 4Y 3Y 3B 3A1A 1B 1Y 2Y 2B 2A GND集成门电路管脚的识别方法:将集成门电路的文字标注正对着自己,左下角为1,然后逆时针方向数管脚。

A)T TL与非门的主要参数有:导通电源电流I CCL、低电平输入电流I IL、高电平输入电流I IH、输出高电平V OH、输出低电平V OL。

注意:不同型号的集成门电路其测试条件及规范值是不同的。

B)检测集成门电路的好坏的简易方法:1)在未加电源时,利用万用表的电阻档检查各管脚之间是否有短路现象;2)加电源:利用万用表的电压档首先检查集成电路上是否有电,然后再利用门电路的逻辑功能检查电路。

例如:“与非”门逻辑功能是:“有低出高,全高出低”。

对于TTL与非门:若将全部输入端悬空测得输出电压为0.1V左右,将任一输入端接地测得输出电压为3V左右,则说明该门是好的。

四、实验内容和步骤:(1)测试芯片的与非功能;(2)TTL与非门的主要参数测试:1导通电源电流I CCL= 。

数电实验报告1

数电实验报告1

实验一定时器一、实验目的:按电路图 1的要求用 555 时基集成电路及相关元器件,构成“定时器”,在“面包板上”焊接实际电路,并调试出正确结果。

二、实验内容与原理:接通电源后, 555 的引脚 2为高电位,引脚 3 输出为低电位,晶体管9013 为截止状态,集电极没有电流通过,发光管 LED 不发光;当 K2 按下后,引脚 2 为低电位,使引脚 3 输出为高电位,进而使晶体管 9013为通导状态,使发光管 LED 发光,同时引脚 2 为低电位,使引脚 6、7拉成低电位,定时开始,由于电源通过47K电阻和 100K 电位器给引脚 6、7所接的 47μ电容充电,经过一段充电时间后,47μ电容的电压降增加,使引脚6、7由低电位变为高电位,又使引脚 3 输出为低电位,使晶体管 9013 又变为截止状态,发光管 LED 不发光。

发光管LED发光的时间为延迟定时时间,当改变100K电位器的数值时,即改变对47μ电容充电电流,充电电流大,可缩小对 47μ电容充电时间,充电电流小,可延长对 47μ电容充电时间,使引脚 6、7由低电位变成高电位的时间发生改变,从而100K电位器可用来调整延迟时间。

由定时器的引脚3引出电信号,或在发光二极管处,换成继电器,就可接在其它应用定时器的电子线路中去。

定时器的线路图由下图所示:图1 定时器线路图三、实验器材:直流稳压电源、数字万用表、面包板、555定时器、发光二极管、三极管、轻触开关、电阻(10kΩ两个、560Ω一个、51kΩ一个)、滑动变阻器(100kΩ一个)、电解电容(47uF一个、100uF一个)、电容(0.01uF一个)、Multisim软件四、实验原理根据 555 电路的基本特性,搭建延时器和振荡器实现定时器。

五、实验步骤:1 .按电路要求在面包板上插接实际电路。

2 .检查无误后,正确接通 5 伏电源。

3 .出现问题,仔细寻找原因,纠正错误,调整好电路。

六、实验数据及结果分析:接通电源,按下开关后,发光二极管上有电流流过,二极管发光;一段时间后,二极管熄灭。

数电实验报告实验一心得

数电实验报告实验一心得

数电实验报告实验一心得引言本实验是数字电路课程的第一次实验,旨在通过实际操作和观察,加深对数字电路基础知识的理解和掌握。

本次实验主要涉及布尔代数、逻辑门、模拟开关和数字显示等内容。

在实验过程中,我对数字电路的原理和实际应用有了更深入的了解。

实验一:逻辑门电路的实验实验原理逻辑门是数字电路中的基本组件,它能够根据输入的布尔值输出相应的结果。

常见的逻辑门有与门、或门、非门等。

本次实验主要是通过搭建逻辑门电路实现布尔函数的运算。

实验过程1. 首先,我按照实验指导书上的电路图,使用示波器搭建了一个简单的与门电路。

并将输入端连接到两个开关,输出端连接到示波器,以观察电路的输入和输出信号变化。

2. 其次,我打开示波器,观察了两个开关分别为0和1时的输出结果。

当两个输入均为1时,示波器上的信号为高电平,否则为低电平。

3. 我进一步观察了两个开关都为1时的输出信号波形。

通过示波器上的脉冲信号可以清晰地看出与门的实际运行过程,验证了实验原理的正确性。

实验结果和分析通过本次实验,我成功地搭建了一个与门电路,并观察了输入和输出之间的关系。

通过示波器上的信号波形,我更加直观地了解了数字电路中布尔函数的运算过程。

根据实验结果和分析,我可以总结出:1. 逻辑门电路可以根据布尔函数进行输入信号的运算,输出相应的结果。

2. 在与门电路中,当输入信号均为1时,输出信号为1,否则为0。

3. 示例器可以实时显示电路的输入和输出信号波形,方便实验者观察和分析。

结论通过本次实验,我对数字电路的基本原理和逻辑门电路有了更深刻的理解。

我学会了如何搭建逻辑门电路,并通过示波器观察和分析输入和输出信号的变化。

这对我进一步理解数字电路的设计和应用具有重要意义。

通过实验,我还锻炼了动手操作、实际观察和分析问题的能力。

实验过程中,需要认真对待并细致观察电路的运行情况,及时发现和解决问题。

这些能力对于今后的学习和研究都非常重要。

总之,本次实验让我更好地理解了数字电路的基本原理和应用,提高了我的实验能力和观察分析能力。

数电实验报告1

数电实验报告1

实验一门电路逻辑功能及测试一、实验目的1、熟悉门电路逻辑功能。

2、熟悉数字电路学习机及示波器使用方法。

二、实验仪器及材料1、双踪示波器2、器件74LS00 二输入端四与非门2片74LS20 四输入端双与非门1片74LS86 二输入端四异或门1片74LS04 六反相器1片三、预习要求1、复习门电路工作原理相应逻辑表达示。

2、熟悉所有集成电路的引线位置及各引线用途。

3、了解双踪示波器使用方法。

四、实验内容实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实页脚内容1验接线图接好连线,特别注意Vcc及地线不能接错。

线接好后经实验指导教师检查无误方可通电。

试验中改动接线须先断开电源,接好线后在通电实验。

1、测试门电路逻辑功能。

(1)选用双输入与非门74LS20一只,插入面包板,按图连接电路,输入端接S1~S4(电平开关输入插口),输出端接电平显示发光二极管(D1~D8任意一个)。

(2)将电平开关按表1.1置位,分别测出电压及逻辑状态。

(表1.1)2、异或门逻辑功能测试(1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B ﹑Y接电平显示发光二极管。

(2)将电平开关按表1.2置位,将结果填入表中。

页脚内容2表1.23、逻辑电路的逻辑关系页脚内容3路自拟。

将输入输出逻辑关系分别填入表1.3﹑表1.4。

(2)写出上面两个电路的逻辑表达式。

表1.3 Y=A⊕B表1.4 Y=A⊕B Z=AB4、逻辑门传输延迟时间的测量用六反相器(非门)按图1.5接线,输80KHz连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd值:tpd=0.2μs/6=1/30μs5、利用与非门控制输出。

选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲的控制作用:页脚内容4页脚内容5一端接高有效的脉冲信号,另一端接控制信号。

数电实验报告(含实验内容)

数电实验报告(含实验内容)

数电实验报告(含实验内容)班级:专业:姓名:学号:实验一用与非门构成逻辑电路一、实验目的1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能二、实验设备及器材KHD-2 实验台集成 4 输入2 与非门74LS20集成 2 输入4 与非门74LS00 或CC4011三、实验原理本实验用的逻辑图如图 2-1 所示图1-1图1-1四、实验内容及步骤1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。

2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。

3、用与非门实现以下逻辑函数式,测试其逻辑功能,将结果填入表1-3中。

Y(A,B,C)=A’B+B’C+AC班级:专业:姓名:学号:五、实验预习要求1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。

六、实验报告1、将实验数据整理后填入相关的表格中2、分别说明各逻辑电路图所实现的逻辑功能A B C Z A B C Y表1-1 表1-2A B C Y 表1-3班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试一、实验目的1、掌握组合逻辑电路的设计与测试方法2、进一步熟悉常用集成门电路的逻辑功能及使用二、实验设备及器材KHD-2 实验台4 输入2 与非门74LS202 输入4 与非门74LS00 或CC4011三、实验原理使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。

设计组合电路的一般步骤如图2-1 所示。

图 2-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表。

然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。

并按实际选用逻辑门的类型修改逻辑表达式。

根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。

数电实验报告

数电实验报告

数电实验报告实验目的:本实验旨在通过实际操作,加深对数电原理的理解,掌握数字电子技术的基本原理和方法,培养学生的动手能力和实际应用能力。

实验仪器和设备:1. 示波器。

2. 信号发生器。

3. 逻辑分析仪。

4. 电源。

5. 万用表。

6. 示教板。

7. 电路元件。

实验原理:数电实验是以数字电子技术为基础,通过实验操作来验证理论知识的正确性。

数字电子技术是一种以数字信号为工作对象,利用电子器件实现逻辑运算、数字存储、数字传输等功能的技术。

本次实验主要涉及数字逻辑电路的设计与实现,包括基本逻辑门的组合、时序逻辑电路、触发器等。

实验内容:1. 实验一,基本逻辑门的实验。

在示教板上搭建与非门、或门、与门、异或门等基本逻辑门电路,通过输入不同的逻辑信号,观察输出的变化情况,并记录实验数据。

2. 实验二,时序逻辑电路的实验。

利用触发器、计数器等元件,设计并搭建一个简单的时序逻辑电路,通过改变输入信号,验证电路的功能和正确性。

3. 实验三,逻辑分析仪的应用。

利用逻辑分析仪对实验中的数字信号进行观测和分析,掌握逻辑分析仪的使用方法,提高实验数据的准确性。

实验步骤:1. 按照实验指导书的要求,准备好实验仪器和设备,检查电路连接是否正确。

2. 依次进行各个实验内容的操作,记录实验数据和观察现象。

3. 对实验结果进行分析和总结,查找可能存在的问题并加以解决。

实验结果与分析:通过本次实验,我们成功搭建了基本逻辑门电路,观察到了不同输入信号对输出的影响,验证了逻辑门的功能和正确性。

在时序逻辑电路实验中,我们设计并搭建了一个简单的计数器电路,通过实验数据的记录和分析,验证了电路的正常工作。

逻辑分析仪的应用也使我们对数字信号的观测和分析有了更深入的了解。

实验总结:本次数电实验不仅加深了我们对数字电子技术的理解,还培养了我们的动手能力和实际应用能力。

在实验过程中,我们遇到了一些问题,但通过认真分析和思考,最终都得到了解决。

这次实验让我们深刻体会到了理论与实践相结合的重要性,也让我们对数字电子技术有了更加深入的认识。

数电实验报告

数电实验报告

(1)加法器实现2位乘法电路原理?利用的是2位二进制乘法的展开式来设计电路的,先用与门做二进制的与运算,再把与结果高位对高地址,低位对低地址相加就可以设计出电路。

(2)4位可控加/减法电路控制模块关键是什么?关键模块在于BCD加法器,在利用补码进行累加计算的过程中需要修正电路。

(3)DACo832工作方式有哪些?直通型方式、单缓冲方式和双缓冲方式。

①当I1E为高电平,CS和WRI位高电平时,1E1信号是的8位输入数据锁存器有效,输入的数据存入输入锁存器。

当需要DA转换时,使WR2和XFER位高电平,1E2信号使得8位DA锁存器有效,将数据置入DA锁存器中,并进行DA转换,这是双缓冲工作方式。

②在DAC0832中,使两个锁存器中的一个常处于开通状态,只控制一个锁存器的锁存或者使两个锁存器同时工作,这是单缓冲工作方式。

③使两个锁存器完全处于开通状态,锁存器输出随数字变化而变化,称为直通工作方式。

(5)引入竞争与冒险现象,探究其产生原因。

在电路设计中使用多种逻辑门如:与非门、或非门等,将一个门电路多个输入端信号同时跳变,或者一个信号经由不同的路径传到同一个门的输入端致使信号到达的时间不同,从而在电路输出端产生尖峰脉冲,这种现象称为竞争一一冒险。

(6)测量输出信号失真方法有哪些?失真度是用一个未经放大器放大前的信号与放大后的信号作比较的差别,其单位为百分比,在这里表征一个信号偏离纯正弦信号的程度。

信号处理方法大致可分为两类:模拟法和数字化方法。

模拟法:指测量中直接应用模拟电路对信号处理测量失真度的方法。

基于模拟法的失真度测量仪由于前级电路有源器件的非线形,因此对小信号的测量不够准确。

具体包含基波抑制法和谐波分析法。

数字化方法:是指首先通过数据采集卡将被测信号量化,再对测量数据处理计算出失真度的测量方法。

按照量程分为一般失真度测量0.1%~100%、小失真度测量0.01%~30%和超低失真度测量0.001%-10%,按照自动化的程度可分为半自动失真度测量和自动失真度测量;信号处理方法大致可分为两类:(7)估算或测量【发挥部分】输入到输出的时间?ADC0809转换时间为130μs(时钟为SOOKHz时)。

数电项目实验报告(3篇)

数电项目实验报告(3篇)

第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。

2. 掌握常用数字电路的分析方法。

3. 培养动手能力和实验技能。

4. 提高对数字电路应用的认识。

二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。

四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。

(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。

(3)分析输出波形,验证逻辑门电路的正确性。

2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。

(3)分析输出波形,验证触发器电路的正确性。

3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。

(3)分析输出波形,验证计数器电路的正确性。

4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。

(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。

(3)分析输出波形,验证寄存器电路的正确性。

五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。

实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。

2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。

实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。

3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。

实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。

数字电子技术实验报告(学生版)

数字电子技术实验报告(学生版)

数字电子技术实验报告开课实验室 指导教师 班级 学号 姓名 日期实验项目 实验一 TTL 逻辑门电路 和组合逻辑电路一、实验目的1.掌握TTL “与非”门的逻辑功能.2.学会用“与非”门构成其他常用门电路的方法。

3.掌握组合逻辑电路的分析方法与测试方法。

4.学习组合逻辑电路的设计方法并用实验来验证.二、预习内容1.用74LS00验证“与非”门的逻辑功能Y 1=AB 2.用“与非"门(74LS00)构成其他常用门电路Y 2=A Y 3=A+B=B A Y 4=AB B AB A实验前画出Y 1——Y 4的逻辑电路图,并根据集成片的引脚排列分配好各引脚。

3.画出用“异或”门和“与非”门组成的全加器电路。

(参照实验指导书P 。

75 图3—2-2)并根据集成片的引脚排列分配好各引脚。

4.设计一个电动机报警信号电路.要求用“与非”门来构成逻辑电路。

设有三台电动机,A 、B 、C 。

今要求:⑴A 开机,则B 必须开机;⑵B 开机,则C 必须开机;⑶如果不同时满足上述条件,则必须发出报警信号。

实验前设计好电动机报警信号电路。

设开机为“1”,停机为“0”;报警为“1”,不报警为“0”。

(写出化简后的逻辑式,画出逻辑图及引脚分配)三、实验步骤1. 逻辑门的各输入端接逻辑开关输出插口,门的输出端接由发光二极管组成的显示插口。

逐个测试逻辑门Y 1-Y 4的逻辑功能,填入表1-1表1-12. 用74LS00和74LS86集成片按全加器线路接线,并测试逻辑功能。

将测试结果填入表 1—2.判断测试是否正确。

图中A i 、B i 为加数,C i —1为来自低位的进位;S i 为本位和,C i 为向高位的进位信号.表1—23.根据设计好的电动机报警信号电路用74LS00集成片按图接线,并经实验验证.将测试结果填入表1—3。

表1-3四、简答题1.Y4具有何种逻辑功能?2.在实际应用中若用74LS20来实现Y=AB时,多余的输入端应接高电平还是低电平? 3.在全加器电路中,当A i=0,S i*=1,C i=1时C i—1=?数字电子技术实验报告开课实验室 指导教师 班级 学号 姓名 日期 实验项目 实验二 组合逻辑电路的设计一、实验目的1.掌握用3线- 8线译码器74LS138设计组合逻辑电路。

数电 实验报告

数电 实验报告

数电实验报告数电实验报告引言:数电实验是电子信息类专业的基础实验之一,通过实践操作,加深学生对数字电路的理解和应用能力。

本文将结合实际实验,对数电实验进行详细的报告。

一、实验目的本次实验的主要目的是通过设计、搭建并测试数字电路,加深对数字电路基本原理的理解,并掌握数字电路的设计和调试方法。

二、实验器材和原理本次实验所需的器材包括数字逻辑实验箱、示波器、函数信号发生器等。

实验原理主要涉及数字逻辑门电路、触发器、计数器等。

三、实验步骤与结果1. 实验一:基本逻辑门电路的设计与测试在实验一中,我们根据所学的逻辑门电路的知识,设计了与门、或门和非门电路,并使用实验箱搭建电路。

通过输入不同的信号,观察输出结果,验证电路的正确性。

实验结果显示,逻辑门电路能够根据输入信号的不同进行逻辑运算,并输出相应的结果。

2. 实验二:触发器的设计与测试在实验二中,我们学习了触发器的基本原理和应用。

通过搭建RS触发器和D触发器电路,并使用函数信号发生器输入时钟信号和触发信号,观察触发器的输出。

实验结果表明,触发器能够根据输入的时钟信号和触发信号,在特定条件下改变输出状态。

3. 实验三:计数器的设计与测试在实验三中,我们学习了计数器的基本原理和应用。

通过搭建二进制计数器电路,使用示波器观察计数器的输出波形,并验证计数器的功能。

实验结果显示,计数器能够根据输入的时钟信号,按照一定规律进行计数,并输出相应的结果。

四、实验总结与心得体会通过本次数电实验,我深刻理解了数字电路的基本原理和设计方法。

在实验过程中,我不仅学会了使用实验器材进行电路搭建和测试,还掌握了数字电路的调试技巧。

通过不断的实践操作,我对数字电路的理论知识有了更加深入的理解。

在今后的学习和工作中,我将继续加强对数字电路的学习和应用,不断提高自己的实践能力。

同时,我也明白了实验中的每一个细节都非常重要,只有严格按照实验步骤进行操作,才能保证实验结果的准确性和可靠性。

总之,本次数电实验是我在数字电路领域的一次重要实践,通过实验的过程,我不仅巩固了理论知识,还培养了自己的动手操作和问题解决能力。

数电综合实验报告(3篇)

数电综合实验报告(3篇)

第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。

2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。

3. 通过综合实验,培养团队合作精神和实践操作能力。

二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。

2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。

3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。

三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。

(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。

(3)使用ModelSim软件对加法器进行仿真,验证其功能。

2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。

(2)使用Verilog HDL语言编写代码,实现4位计数器。

(3)使用ModelSim软件对计数器进行仿真,验证其功能。

3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。

(2)使用Verilog HDL语言编写代码,实现数字时钟功能。

(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。

四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。

2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。

3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。

五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。

2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。

3. 培养了团队合作精神和实践操作能力。

六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。

2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。

数电实验一

数电实验一

(1)供电电源VDD取5V,将与非门的两个输入端并接,
并将其与VDD连接,测量其输出电压,即为VOL。注意:为 保证输出开路,测量用的电压表的内阻要足够大,最好用数
字电压表;
VDD &
+
V
-
图1-6 VOL、VOH测试电路
(2)供电电源VDD取5V,将与非门的两个输入端并接,并
将其与地连接,测量其输出电压,即为VOH; (3) 供电电源VDD取3V,重复步骤(1)、(2)测量,并 将测量结果填入表1-2中。3V电源可由5V通过电阻分压取得。
这一参数非常重要。必要时要对门的每个输入端进行测试。
测试电路如图1-3所示。 测试条件:被测输入端通过电流表接地,其余输入端悬 空或接VCC,输出空载,VCC=5V。74LS系列低电平输入电流 IIL典型值为0.4mA。●V源自D&IiLmA
1-3
IiL测试电路
4、电压传输特性
电压传输特性是指门电路输出电压Vo随输入电压Vi而变化 的曲线。电压传输特性的测试电路如图1-4所示,调节Rw,
使Vi从0v至5v变化,逐点测出Vo和Vi,填入表1-1中,再根据
实测数据绘出电压传输特性曲线,从曲线上读出VOH(标准输 出高电平)、VOL(标准输出低电平)、VIL(MAX)和VIH(MIN)。
表1-1
通常对74LS系列要求Voh>2.4V Vol<0.4V Vil(MAX)>0.8V, Vih(MIN)<2V。
注意:所测电流为芯片中所有与非门的总电流。
mA & ICCH
VCC
图1-2 ICCH测试电路
3、低电平输入电流IIL 与非门的低电平输入电流IIL是指被测输入端接低电平, 其余输入端悬空或接VCC,输出端空载时,由被测输入端流 出的电流值。因为门电路的输入电流通常就是前级门电路的 负载电流,其大小直接影响前级电路驱动负载的个数,所以

数电实验报告

数电实验报告

实验一TTL与非门参数测试一.实验目的1.熟悉TTL与非门的外形和管脚排列。

2.掌握TTL与非门逻辑功能的测试方法。

3.掌握TTL与非门主要参数的测试方法,加深理解TTL与非门参数及其物理意义。

4.初步熟悉"MFET-1多功能电子电路调试器"的使用方法。

二.实验设备与器材1.二踪示波器2.晶体管直流稳压电源3电子电路调试器4.万用表5.主要器材:74LS20、74LSOO、电位器、电阻等.三.实验原理实验使用的TTL集成门74LS20是一块由两个4输入端与非门组成的小规模集成电路,其逻辑表达式为Y=ABCD。

它具有14个外引管脚,当芯片的缺口朝左方时,芯片的左上方为14号脚,接电源Vcc(所有TTL集成电路使用的电源电压均为Vcc=+5V),右下方7号脚GND接OV。

多数芯片的电源引脚是对角线分布的。

芯片的外引管脚排列规则,通常是左下方是1号脚,按逆时针方向递增排列。

TTL与非门的主要参数:1.低电平输出电源电流IccL和高电平输出电源电流IccH与非门处在不同的工作状态,电源提供的电流是不同的。

低电平输出电源电流IccL是指:所有输入端悬空,输出端空载时,电源提供器件的电流。

高电平输出电源电流IccH则是指:每个门各有一个以上的输入端接地,输出端空载时的电源电流。

通常IccL>IccH。

电源电流的大小表示了器件静态功耗的大小。

器件的最大功耗为:Pm=VccICCL器件的平均功耗为:PAV=(IccL+IccH)*Vcc/22.低电平输入电流IIL和高电平输入电流IIH低电平输入电流是指:被测输入端的输入电压VIL=0.4V,其余输入端悬空时,由被测输入瑞流出的电流值。

测试时,把被测输入端接地,可以测得与非门的输入短路电流IIS此值可近似地代替IIL值.高电平输入电流是指:被测输入端接至+5V 电源,其余输入端接地,流入被测输入端的电流值。

3.电压传输特性电压传输特性是反映输出电压Vo 与输入电压VI 之间关系的特性曲线.从电压传输特性曲线上可以直接读出下述各参数值。

数电实验报告

数电实验报告

数字电子技术实验报告学号:姓名:班级:实验一组合逻辑电路分析一、实验用集成电路引脚图74LS00集成电路:74LS20集成电路:二、实验内容1.ABCD接逻辑开关,“1”表示高电平,“0”表示低电平。

电路图如下:A=B=C=D=1时(注:逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。

)表格记录:结果分析:由表中结果可得该电路所实现功能的逻辑表达式为:F=AB+CD。

在multisim软件里运用逻辑分析仪分析,可得出同样结果:2.密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为”1”,将锁打开。

否则,报警信号为”1”,则接通警铃。

试分析密码锁的密码ABCD是什么?电路图如下:A=B=C=D=1时A=B= D=1,C=0时2.5 VA= D=1,B=C=0时记录表格:结果分析:由表可知,只有当A=D=1,B=C=0时,开锁灯亮;其它情况下,都是报警灯亮。

因此,可知开锁密码是1001。

三、实验体会与非门电路可以实现多种逻辑函数的功能模拟,在使用芯片LS7400和LS7420时,始终应该注意其14脚接高电平,8脚接地,否则与非门无法正常工作。

利用单刀双掷开关,可以实现输入端输入高/低电平的转换;利用LED灯可以指示输出端的高低电平。

实验二组合逻辑实验(一)半加器和全加器一、实验目的熟悉用门电路设计组合电路的原理和方法步骤。

二、预习内容1.预习用门电路设计组合逻辑电路的原理和方法步骤。

2.复习二进制数的运算。

①用与非门设计半加器的逻辑图。

②完成用异或门、与非门、与或非门设计全加器的逻辑图。

③完成用异或门设计的三变量判奇电路的原理图。

三、参考元件74LS283: 74LS00:74LS51: 74LS136:四、实验内容1.用与非门组成半加器,用异或门、与或非门、与非门组成全加器。

实验结果填入表中。

(1)与非门组成的半加器。

电路图如下(J1、J2分别代表Ai、Bi,图示为Ai、Bi分别取不同的电平时的仿真结果):2.5 V2.5 V2.5 V记录表格:(2)异或门、与或非门、与非门组成的全加器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字电路实验设计报告
实验名称:组合逻辑研究(一)——QuartusⅡ软件的使用
实验目的:
1.学会使用QuartusⅡ软件,运用该软件设计电路原理图。

2.学会用语言设计电路原理图,并会对设计图进行功能和时序
仿真。

3.学会从QuartusⅡ软件中下载原理图到FPGA,测试电路功能。

实验仪器:
1.计算机1台
2.数字电路实验板1块
实验内容:
1.利用软件,用原理图输入的方法实现三变量多数表决器电
路,进行功能和时序仿真,记录仿真波形。

2.利用QuartusⅡ软件,用VHDL文本输入的方法实现一位全加
器电路,进行功能和时序仿真,并下载入FPGA,在试验箱上
测试其电路功能。

设计过程及仿真结果:
1.三变量多数表决器原理图
功能仿真波形
时序仿真波形
2.一位全加器的VHDL语言描述
entity add1 is
port(
A,B,C: in bit;
D,S: out bit
);
end add1;
architecture one of add1 is
begin
S<=A XOR B XOR C;
D<=((A XOR B) AND C) OR (A AND B);
end one;
一位全加器功能真值表
验证其功能
功能仿真波形
时序仿真波形
实验结果分析:
(1)由仿真结果可以看出,三变量多数表决器电路原理图及一位全加器的VHDL语言描述正确。

(2)由仿真结果可知,功能仿真时对信号的输入没有延迟,而时序仿真时,当多个输入信号在同一时刻处同时发生变化时,此时电路存在竞争,会有冒险,故从仿真图上可以看到毛刺。

相关文档
最新文档