中国石油大学实验报告-数电设计性实验

合集下载

数电设计实验报告

数电设计实验报告

数电设计实验报告
《数电设计实验报告》
实验目的:通过本次实验,掌握数字电路设计的基本原理和方法,提高学生对数字电路设计的理论和实践能力。

实验内容:本次实验是基于数电设计的实践操作,通过实验板和相关器件进行数字电路设计与调试。

实验内容包括逻辑门电路设计、计数器设计、状态机设计等。

实验步骤:
1. 熟悉实验板和相关器件,了解数字电路设计的基本原理和方法;
2. 根据实验要求,设计逻辑门电路并进行仿真验证;
3. 设计并搭建计数器电路,测试其功能和性能;
4. 进行状态机设计,并对其进行调试和优化;
5. 总结实验过程中遇到的问题和解决方法,对实验结果进行分析和讨论。

实验结果:通过本次实验,我们成功设计并调试了一系列数字电路,包括逻辑门电路、计数器和状态机。

实验结果表明,我们掌握了数字电路设计的基本原理和方法,提高了对数字电路设计的理论和实践能力。

实验结论:本次实验使我们深入理解了数字电路设计的原理和方法,提高了我们的实践能力和创新意识。

通过实验,我们不仅学会了数字电路设计的基本技能,还培养了我们的团队合作和问题解决能力。

这些都为我们未来的学习和工作打下了坚实的基础。

总结:通过本次实验,我们深刻体会到了实践是检验理论的最好方法。

只有通过实际操作,我们才能真正理解数字电路设计的原理和方法,提高我们的实践
能力和创新意识。

希望通过今后的实验学习,我们能不断提高自己的技能和能力,为将来的学习和工作打下坚实的基础。

数电实验报告数码管显示控制电路设计

数电实验报告数码管显示控制电路设计

数电实验报告数码管显示控制电路设计实验目的:设计一个数码管显示控制电路,实现对数码管的显示控制。

实验器材:数码管、集成电路、电阻、开关、电源等。

实验原理:数码管是一种用它们来显示数字和字母的一种装置。

它由几个独立的发光二极管组成,每个数字由不同的发光二极管的组合表示。

对数码管的显示控制通常使用多路复用技术实现,即通过控制数码管的分段和共阴极或共阳极来实现不同数字的显示。

实验步骤:1.确定数码管的类型和接线方式。

本实验中使用共阳数码管,数码管共阳极通过电阻连接到正极电源。

2.选取适当的集成电路作为显示控制电路。

本实验中选择CD4511作为显示控制芯片,它可以实现对4位共阳数码管的显示控制。

3.连接电路。

将4位共阳数码管的阳极分别连接到CD4511芯片的A、B、C和D端口,共阴极连接到电源正极。

将CD4511芯片的输入端口IN1、IN2、IN3和IN4连接到微控制器的输出端口,控制微控制器输出的电平来选通不同的数码管。

4.设置微控制器的输出。

通过编程或手动设置微控制器的输出端口来控制数字的显示。

根据需要显示的数字,将相应的输出端口设置为高电平,其余端口设置为低电平。

通过适当的延时控制,便可以实现数字的连续显示。

实验结果与分析:经过上述步骤完成电路搭建后,我们可以通过改变微控制器的输出端口来控制数码管的显示。

当我们设置不同的输出端口为高电平时,相应的数码管会显示对应的数字。

通过适当的延时控制,我们可以实现数字的连续显示,从而实现对数码管的显示控制。

实验结论:通过本次实验,我们成功地设计并实现了一个数码管显示控制电路。

通过对微控制器输出端口的控制,我们可以实现对数码管的数字显示控制。

这对于数字显示系统的设计和开发具有重要意义。

实验心得:通过本次实验,我对数码管的显示控制有了更深入的了解。

数码管作为一种常见的数字显示装置,广泛应用于各种电子设备中。

掌握其显示控制原理和方法对于电子技术爱好者来说至关重要。

通过实际操作,我对数码管显示控制电路的设计和实现有了更深入的认识,同时也提高了我对数字显示系统的理解和设计能力。

数电实验报告1

数电实验报告1

实验一门电路逻辑功能及测试一、实验目的1、熟悉门电路逻辑功能。

2、熟悉数字电路学习机及示波器使用方法。

二、实验仪器及材料1、双踪示波器2、器件74LS00 二输入端四与非门2片74LS20 四输入端双与非门1片74LS86 二输入端四异或门1片74LS04 六反相器1片三、预习要求1、复习门电路工作原理相应逻辑表达示。

2、熟悉所有集成电路的引线位置及各引线用途。

3、了解双踪示波器使用方法。

四、实验内容实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。

线接好后经实验指导教师检查无误方可通电。

试验中改动接线须先断开电源,接好线后在通电实验。

1、测试门电路逻辑功能。

(1)选用双输入与非门74LS20一只,插入面包板,按图连接电路,输入端接S1~S4(电平开关输入插口),输出端接电平显示发光二极管(D1~D8任意一个)。

(2)将电平开关按表1.1置位,分别测出电压及逻辑状态。

(表1.1)2、异或门逻辑功能测试(1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。

(2)将电平开关按表1.2置位,将结果填入表中。

表 1.23、逻辑电路的逻辑关系(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。

将输入输出逻辑关系分别填入表1.3﹑表1.4。

(2)写出上面两个电路的逻辑表达式。

表1.3 Y=A ⊕B表1.4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间的测量用六反相器(非门)按图1.5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd 值 : tpd=0.2μs/6=1/30μs 5、利用与非门控制输出。

选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S 对输出脉冲的控制作用:一端接高有效的脉冲信号,另一端接控制信号。

数字电子技术 实验报告

数字电子技术 实验报告

实验一组合逻辑电路设计与分析1.实验目的(1)学会组合逻辑电路的特点;(2)利用逻辑转换仪对组合逻辑电路进行分析与设计。

2.实验原理组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。

根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。

图1-1 组合逻辑电路的分析步骤根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进行设计。

图1-2 组合逻辑电路的设计步骤3.实验电路及步骤(1)利用逻辑转换仪对已知逻辑电路进行分析。

a.按图1-3所示连接电路。

b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出简化表达式后,得到如图1-4所示结果。

观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。

因此这是一个四位输入信号的奇偶校验电路。

图1-4 经分析得到的真值表和表达式(2)根据要求利用逻辑转换仪进行逻辑电路的设计。

a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾探测器。

为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。

b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。

因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。

图1-5 经分析得到的真值表(3)在逻辑转换仪面板上单击由真值表到处简化表达式的按钮后得到最简化表达式AC+AB+BC。

4.实验心得通过本次实验的学习,我们复习了数电课本关于组合逻辑电路分析与设计的相关知识,掌握了逻辑转换仪的功能及其使用方法。

数字电子电路》综合性设计性实验

数字电子电路》综合性设计性实验
强化实验操作
加强实验操作训练,提高学生的动 手能力和实验效率。
相关技术发展与展望
集成电路技术
随着集成电路技术的发展,数字电子电路的设计 和实现将更加高效和可靠。
人工智能技术
人工智能技术在数字电子电路中的应用将进一步 拓展,为电路设计带来更多可能性。
5G通信技术
5G通信技术的发展将促进数字电子电路在通信领 域的应用和发展。
实验总结与反思
总结实验成果
对整个实验过程进行总结,概括实验的主要成果和收获。
反思与展望
对实验中存在的问题和不足进行反思,并提出改进措施和展望,为后续实验提供借鉴和指导。
06
实验扩展与提高
实验优化建议
增加实验难度
通过增加实验的复杂性和难度, 提高学生的实验技能和解决问题
的能力。
引入新技术
将最新的数字电子技术引入实验中, 使学生能够掌握最新的知识和技术。
确定设计方案后,绘制电路原 理图和PCB版图。
根据电路图,搭建实验电路并 完成硬件调试。
进行软件编程和调试,实现所 需功能。
进行系统测试和性能评估,完 成实验报告。
04
实验操作与调试
实验操作流程
电路设计
根据实验要求,设计合适的电 路图,确保电路功能符合要求。
程序编写
根据电路功能,编写合适的程 序,实现电路的控制和数据处 理。
数据处理与分析
对实验数据进行处理和分析,包 括计算误差、对比理论值与实际 值等,以评估实验结果的准确性 和可靠性。
实验结果对比与讨论
对比不同方案结果
将采用不同方案得到的实验结果进行 对比,分析各种方案的优缺点,为后 续实验提供参考。
结果讨论
对实验结果进行深入讨论,探讨可能 影响实验结果的因素,以及如何改进 实验方法和技巧。

中石油大学数电实验3基于QUARTUSII图形输入电路的设计

中石油大学数电实验3基于QUARTUSII图形输入电路的设计

数字电子技术实验报告学院名称新能源学院专业班级学号姓名项目名称基于QUARTUSII图形输入电路的设计实验日期 2020年11月11日实验三基于QUARTUSII图形输入电路的设计一、实验目的1、通过一个简单的 3—8 译码器的设计,掌握组合逻辑电路的设计方法。

2、初步了解 QUARTUSII 原理图输入设计的全过程。

3、掌握组合逻辑电路的静态测试方法。

二、实验内容本次实验通过使用QUARTUSII软件模拟3-8译码器的工作,实验项目包括建立工程文件、建立图形设计文件、编译、管脚分配以及时序仿真。

1、列写真值表3-8 译码器三输入,八输出。

当输入信号按二进制方式的表示值为N 时,输出端标号为N 的输出端输出高电平表示有信号产生,而其它则为低电平表示无信号产生。

因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位为高电平的情况下,能表示所有的输入组合。

其真值表如表二-1所示2、建立工程文件(1)双击运行程序(2)选择软件中的菜单 File>New Project Wizard,新建一个工程(3)点击NEXT 进入工作目录,设定工程名。

第一个输入框为工程目录输入框,用户可以输入如 f:/eda 等工作路径来设定工程的目录(4)点击 NEXT,进入下一个设定对话框,按默认选项直接点击 NEXT 进行器件选择对话框。

这里我们以选用 CycloneⅡ系列芯片 EP2C35F484C8。

(5)按默认选项,点击 NEXT 出现新建工程以前所有的设定信息,点击 FINISH 完成新建工程的建立。

3、建立图形设计文件(1)在创建好设计工程后,选择File>NEW…菜单,出现下图所示的新建设计文件类型选择窗口。

(2)New对话框中选择Device Design Files 页下的Block Diagram/Schematic File,点击OK 按钮。

(3)设计3-8译码器,将要选择的器件符号放置在图形编辑器的工件区域,用正交节点工具将原件边接起来,定义三个输入为 A、B、C,定义八个输出为D0、D1、D2、D3、D4、D5、D6、D7。

数电设计实验报告

数电设计实验报告

一、实验目的1. 熟悉数字电路的基本组成和设计方法。

2. 学习组合逻辑电路和时序逻辑电路的设计与实现。

3. 掌握Verilog HDL语言进行数字电路的设计与仿真。

4. 提高数字电路分析与设计能力。

二、实验内容本次实验主要设计一个数字钟电路,要求实现以下功能:1. 显示时、分、秒,时间周期为24小时。

2. 时间基准为1秒对应1Hz的时钟信号。

3. 可通过按键进行校时。

三、实验原理数字钟电路主要由以下部分组成:1. 振荡器:产生基准时钟信号。

2. 分频器:将基准时钟信号分频,得到1Hz的时钟信号。

3. 计数器:对1Hz的时钟信号进行计数,实现秒、分、时的计时。

4. 显示器:将计时结果显示出来。

5. 校时电路:通过按键进行校时操作。

四、实验步骤1. 使用Verilog HDL语言编写数字钟电路的代码。

2. 使用ModelSim进行仿真,验证电路功能。

3. 将代码编译并下载到FPGA芯片上。

4. 在FPGA开发板上进行实验,测试电路功能。

五、实验代码```verilogmodule digital_clock(input clk, // 基准时钟信号input rst_n, // 复位信号,低电平有效 input set, // 校时按键output [5:0] h, // 时output [5:0] m, // 分output [5:0] s // 秒);reg [23:0] counter; // 计数器reg [23:0] h_counter; // 时计数器reg [23:0] m_counter; // 分计数器reg [23:0] s_counter; // 秒计数器// 时计数器always @(posedge clk or negedge rst_n) beginif (!rst_n) beginh_counter <= 24'd0;end else beginif (counter >= 24'd86400) beginh_counter <= h_counter + 24'd1;counter <= 24'd0;end else begincounter <= counter + 24'd1;endendend// 分计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) beginm_counter <= 24'd0;end else beginif (h_counter >= 24'd24) beginm_counter <= m_counter + 24'd1; h_counter <= 24'd0;end else beginm_counter <= m_counter + 24'd1; endendend// 秒计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) begins_counter <= 24'd0;end else beginif (m_counter >= 24'd59) begins_counter <= s_counter + 24'd1;m_counter <= 24'd0;end else begins_counter <= s_counter + 24'd1;endendend// 时、分、秒输出assign h = h_counter[5:0];assign m = m_counter[5:0];assign s = s_counter[5:0];endmodule```六、实验结果1. 仿真结果:使用ModelSim对代码进行仿真,验证电路功能。

数电实验设计实验报告

数电实验设计实验报告

数电实验课程设计实践报告指导老师:李绍鹏学院:电子信息学院专业:电子信息工程学号:1140302110姓名:陈广林江苏科技大学2013.9课题一数字电子钟任务:用文本法或图形法设计一个能显示时、分、秒的数字电子钟。

要求:1.设计由20mhz有源晶振电路产生标准信号的单元电路;2.时为00~23六十进制计数器,分、秒为00~59六十进制计数器;3.能够显示出时、分、秒;4.具有清零,调节分钟的功能;5.模拟钟摆功能;6.具有整点报时功能,整点报时的同时声响电路会发出叫声;7.对时、分、秒单元电路进行仿真并纪录;8.选作部分:具有定时闹钟功能,可在任意设定一时间,到时自动提醒,通过声响电路发出叫声。

设计思路:1.设计一个分频器对提供的20mhz脉冲信号进行分频,在头文件中进行调用,根据输入的初值不同得到不同的频率信号;2.用计数器对1hz的脉冲信号进行计数得到秒,用译码器对其译码用数字管就能显示时间了,分与时也采用相同的办法得到;3.输入一个脉冲信号对分计数进行控制就可以调节分钟了;4.用LED灯对1HZ的信号进行间隙闪烁模拟钟摆功能;5.当时间为整点时用LED亮来模拟声响电路。

数字钟系统框图分频器:分频器电路图分频器仿真波形图分析:对输入的脉冲进行同步计数,根据需要输入不同的d值可得到不同频率的脉冲信号,为了更合理的脉冲占空比对脉冲采用了二分频得到占空比为50%的脉冲信号,本系统中用到的有1000HZ,250HZ,8HZ,1HZ的信号脉冲。

计数器:分析:采用同步计数器根据输入的C的不同实现不同的计数,计满一个周期后产生一个进位脉冲,本系统用到了一个24计数器和2个60计数器分别表示时分秒。

计数器电路图计数器电路图译码器:module yima(in,out1,out0);input [5:0] in; //in为输入的时,分,秒.output [3:0] out1,out0; //out1表示十位,out0表示个位.reg [3:0] out1,out0;always@(in)begincase(in)6'b000000: beginout0=4'b0000; out1=4'b0000; end //00 6'b000001: beginout0=4'b0001;out1=4'b0000; end //01 6'b000010: beginout0=4'b0010; out1=4'b0000; end //02 6'b000011: beginout0=4'b0011;out1=4'b0000; end //03 6'b000100: beginout0=4'b0100;out1=4'b0000; end //04 6'b000101: beginout0=4'b0101;out1=4'b0000; end //05 6'b000110: beginout0=4'b0110;out1=4'b0000; end //06 6'b000111: beginout0=4'b0111;out1=4'b0000; end //07 6'b001000: beginout0=4'b1000;out1=4'b0000; end //08 6'b001001: beginout0=4'b1001;out1=4'b0000; end //09 6'b001010: beginout0=4'b0000;out1=4'b0001;end //10 6'b001011: beginout0=4'b0001; out1=4'b0001; end //11 6'b001100: beginout0=4'b0010;out1=4'b0001; end //12 6'b001101: beginout0=4'b0011; out1=4'b0001; end //13 6'b001110: beginout0=4'b0100;out1=4'b0001; end //14 6'b001111: beginout0=4'b0101;out1=4'b0001; end //156'b010000: beginout0=4'b0110;out1=4'b0001; end //16 6'b010001: beginout0=4'b0111;out1=4'b0001; end //17 6'b010010: beginout0=4'b1000;out1=4'b0001; end //18 6'b010011: beginout0=4'b1001;out1=4'b0001; end //19 6'b010100: beginout0=4'b0000; out1=4'b0010; end //20 6'b010101: beginout0=4'b0001;out1=4'b0010; end //21 6'b010110: beginout0=4'b0010; out1=4'b0010; end //22 6'b010111: beginout0=4'b0011;out1=4'b0010; end //23 6'b011000: beginout0=4'b0100;out1=4'b0010; end //24 6'b011001: beginout0=4'b0101;out1=4'b0010; end //25 6'b011010: beginout0=4'b0110;out1=4'b0010; end //26 6'b011011: beginout0=4'b0111;out1=4'b0010; end //27 6'b011100: beginout0=4'b1000;out1=4'b0010; end //28 6'b011101: beginout0=4'b1001;out1=4'b0010; end //29 6'b011110: beginout0=4'b0000;out1=4'b0011;end //30 6'b011111: beginout0=4'b0001;out1=4'b0011; end //31 6'b100000: beginout0=4'b0010; out1=4'b0011; end //32 6'b100001: beginout0=4'b0011;out1=4'b0011; end //33 6'b100010: beginout0=4'b0100;out1=4'b0011; end //34 6'b100011: beginout0=4'b0101;out1=4'b0011; end //35 6'b100100: beginout0=4'b0110;out1=4'b0011; end //36 6'b100101: beginout0=4'b0111;out1=4'b0011; end //37 6'b100110: beginout0=4'b1000;out1=4'b0011; end //38 6'b100111: beginout0=4'b1001;out1=4'b0011; end //39 6'b101000: beginout0=4'b0000;out1=4'b0100;end //40 6'b101001: beginout0=4'b0001;out1=4'b0100; end //41 6'b101010: beginout0=4'b0010;out1=4'b0100; end //42 6'b101011: beginout0=4'b0011;out1=4'b0100; end //43 6'b101100: beginout0=4'b0100;out1=4'b0100; end //44 6'b101101: beginout0=4'b0101;out1=4'b0100; end //45 6'b101110: beginout0=4'b0110;out1=4'b0100; end //46 6'b101111: beginout0=4'b0111;out1=4'b0100; end //47 6'b110000: beginout0=4'b1000;out1=4'b0100; end //486'b110001: beginout0=4'b1001;out1=4'b0100; end //49 6'b110010: beginout0=4'b0000;out1=4'b0101;end //50 6'b110011: beginout0=4'b0001;out1=4'b0101; end //51 6'b110100: beginout0=4'b0010; out1=4'b0101; end //52 6'b110101: beginout0=4'b0011;out1=4'b0101; end //53 6'b110110: beginout0=4'b0100;out1=4'b0101; end //54 6'b110111: beginout0=4'b0101;out1=4'b0101; end //55 6'b111000: beginout0=4'b0110;out1=4'b0101; end //56 6'b111001: beginout0=4'b0111;out1=4'b0101; end //57 6'b111010: beginout0=4'b1000;out1=4'b0101; end //58 6'b111011: beginout0=4'b1001;out1=4'b0101; end //59 default: beginout0=4'bz; out1=4'bz; endendcaseendendmodule译码器仿真波形图译码器电路图分析:对输入的时分秒进行译码,译码管能显示16进制数,故采用4位二进制数表示,个位十位分别用一个译码管显示。

数电实验报告格式

数电实验报告格式

福州大学至诚学院数字电子设计性实验报告姓名:学号:系别:专业:年级:班级:实验时间:一、设计要求(1)时钟脉冲由555定时器构成的多谐振荡器实现,要求输出f=6.5khz(2)实现以上功能的基础上,增加输出显示功能。

即若S1按下,在七段发光管显示1,若S2按下,在七段发光管显示2,若S3按下,在七段发光管显示3,若S4按下,在七段发光管显示4。

(3)画出完整的电路接线图。

(4)实验中得出要求的正确结果,并接受检查。

(5)认真完成实验报告,说明实验过程中出现的故障和排除故障的方法。

二、方案选择与确定(含各部分电路原理图、工作原理、实验波形)工作原理:图1所示为四人参加智力竞赛的抢答部分电路,电路中的主要器件是74LS175型四上升沿D 触发器(如图2所示),它的清零端和时钟脉冲CP 是四个D 触发器共用的。

抢答前先清零,Q1~Q4均为0; 1Q ~4Q 均为1,与非门G1输出为0。

同时,G2输出为1,将G3开通,时钟脉冲CP 可以经过G3进入D 触发器的CP 端。

此时,由于S1~S4均未按下,D1~D4均为0,所以触发器的状态不变。

抢答开始,若S1首先按下,D1和Q1均变为1;Q变为0,G1的输出为1。

同时,G2输出为0,将G3关断,1时钟脉冲CP便不能经过G3进入D触发器,由于没有时钟脉冲,因此再接着按其他按钮,就不起作用了,触发器的状态不会改变。

抢答判决完毕,清零,准备下次抢答用。

工作原理:图3所示是时钟脉冲产生的电路。

该时钟脉冲由555定时器(如图4所示)构成的多谐振荡器实现。

实验波形:三.总线路图四、元器件选择(含明细表)五.实验总结要注意元件的排版,一旦错误,就不能正常运行,还要注意没个元件管脚的排布,以免出现接触不良或出现短路情况,还要确保安装牢固,不至于掉下来。

六.心得体会这次实验使我学会了很多知识,增强了动手能力,也让我对各种芯片有了一定的认识,也让我们对设计产品的一半步骤有了深刻的了解,对于我们今后的学习和工作都有很大的帮助,通过自己的不懈努力和老师的精心教导使我完成了作品。

数字电子技术课程设计(1)中国石油大学

数字电子技术课程设计(1)中国石油大学

•控制器的作用是控制系统内各部分模块的工作,使它们按一定 顺序进行操作。
•处理器的作用是完成信息的存储和加工处理。
5/1/2020
4
2、数字系统的设计方法
分类:
自下而上的设计方法 自上而下的设计方法
自下而上的设计方法
数字系统自下而上的设计是一种试探法。设计 者根据自己的经验将规模大、功能复杂的数字 系统按逻辑功能划分成若干子模块,一直分到 这些子模块可以用经典的方法和标准的逻辑功 能部件进行设计,最后将整个系统安装、调试 达到设计要求。
• COUNT_CLR信号用于在每次测量开始时,对计数模块复位, 以清除上次测量的结果。该复位信号高电平有效,持续半个时 钟周期的时间。
• COUNT_EN信号为计数允许信号,高电平有效。在信号的上 升沿开始,对输入信号的频率进行测量。计数器开始对被测信 号的脉冲数进行计数,即为信号的频率。
• 锁存器的功能是使显示的数据稳定,不会由于周期性的清零信 号而不断闪烁。
5/1/2020
20
设计思路
循环显示的两种分类:
1.简单:一定时间间隔内只显示一个字,且 字是固定位置;
2.复杂:按照一定的时间间隔,字向左或者 向右移动。
5/1/2020
21
设计思路
控制器主要控制所要显示的字,通过设置 16X16的LED状态显示字符; 计数器每个字显示时间(字固定在同一位 置的时间)的长短; LED用来显示字。
显示器
TX
译码器
锁存
T
锁存器
被测方波信号 闸 门
计数器
清零
T
定时器 1
晶体振荡
门控
10s
÷4 1s
1s
10s
÷10

中国石油大学实验报告-数电设计性实验

中国石油大学实验报告-数电设计性实验
四、实验内容:(设计电路实现及功能验证)
五、实验结论及总结:
六、对实验中存在问题的讨论及建议:
指导教师评语:
签字:
日期:
逻辑图根据所选逻辑器件画出相应电路图数字电子技术实验报告四实验内容
中国石油大学(北京)
实验报告
班级:学号:姓名:
实验课程:
实验名称:
实验日期:Байду номын сангаас
报告完成日期:
一、实验目的:
二、仪器用具:
三、实验设计:
1.设计要求:
2.真值表(写出变量设定)
3.逻辑表达式(根据所选逻辑器件写出相应表达式)
4.逻辑图(根据所选逻辑器件画出相应电路图)

数电项目实验报告(3篇)

数电项目实验报告(3篇)

第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。

2. 掌握常用数字电路的分析方法。

3. 培养动手能力和实验技能。

4. 提高对数字电路应用的认识。

二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。

四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。

(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。

(3)分析输出波形,验证逻辑门电路的正确性。

2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。

(3)分析输出波形,验证触发器电路的正确性。

3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。

(3)分析输出波形,验证计数器电路的正确性。

4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。

(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。

(3)分析输出波形,验证寄存器电路的正确性。

五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。

实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。

2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。

实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。

3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。

实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。

数电实验实验报告

数电实验实验报告

数字电路实验报告实验一 组合逻辑电路分析一.试验用集成电路引脚图74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一X12.5 VA BCD示灯:灯亮表示“1”,灯灭表示“0”ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平自拟表格并记录:2.实验二密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。

否则,报警信号为“1”,则接通警铃。

试分析密码锁的密码ABCD 是什么?ABCDABCD 接逻辑电平开关。

最简表达式为:X1=AB ’C ’D 密码为: 1001 表格为:三.实验体会:1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。

2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。

实验二组合逻辑实验(一)半加器和全加器一.实验目的1.熟悉用门电路设计组合电路的原理和方法步骤二.预习内容1.复习用门电路设计组合逻辑电路的原理和方法步骤。

2.复习二进制数的运算。

3. 用“与非门”设计半加器的逻辑图。

4. 完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。

5. 完成用“异或”门设计的3变量判奇电路的原理图。

三.元件参考依次为74LS283、74LS00、74LS51、74LS136其中74LS51:Y=(AB+CD )’,74LS136:Y=A ⊕B (OC 门) 四.实验内容1. 用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟)NOR2SC半加器全加器2.用异或门设计3变量判奇电路,要求变量中1的个数为奇数是,输出为1,否则为0.3变量判奇电路3.“74LS283”全加器逻辑功能测试测试结果填入下表中:五.实验体会:1.通过这次实验,掌握了熟悉半加器与全加器的逻辑功能2.这次实验的逻辑电路图比较复杂,涉及了异或门、与或非门、与非门三种逻辑门,在接线时应注意不要接错。

数电 实验报告

数电 实验报告

数电实验报告数电实验报告引言:数电实验是电子信息类专业的基础实验之一,通过实践操作,加深学生对数字电路的理解和应用能力。

本文将结合实际实验,对数电实验进行详细的报告。

一、实验目的本次实验的主要目的是通过设计、搭建并测试数字电路,加深对数字电路基本原理的理解,并掌握数字电路的设计和调试方法。

二、实验器材和原理本次实验所需的器材包括数字逻辑实验箱、示波器、函数信号发生器等。

实验原理主要涉及数字逻辑门电路、触发器、计数器等。

三、实验步骤与结果1. 实验一:基本逻辑门电路的设计与测试在实验一中,我们根据所学的逻辑门电路的知识,设计了与门、或门和非门电路,并使用实验箱搭建电路。

通过输入不同的信号,观察输出结果,验证电路的正确性。

实验结果显示,逻辑门电路能够根据输入信号的不同进行逻辑运算,并输出相应的结果。

2. 实验二:触发器的设计与测试在实验二中,我们学习了触发器的基本原理和应用。

通过搭建RS触发器和D触发器电路,并使用函数信号发生器输入时钟信号和触发信号,观察触发器的输出。

实验结果表明,触发器能够根据输入的时钟信号和触发信号,在特定条件下改变输出状态。

3. 实验三:计数器的设计与测试在实验三中,我们学习了计数器的基本原理和应用。

通过搭建二进制计数器电路,使用示波器观察计数器的输出波形,并验证计数器的功能。

实验结果显示,计数器能够根据输入的时钟信号,按照一定规律进行计数,并输出相应的结果。

四、实验总结与心得体会通过本次数电实验,我深刻理解了数字电路的基本原理和设计方法。

在实验过程中,我不仅学会了使用实验器材进行电路搭建和测试,还掌握了数字电路的调试技巧。

通过不断的实践操作,我对数字电路的理论知识有了更加深入的理解。

在今后的学习和工作中,我将继续加强对数字电路的学习和应用,不断提高自己的实践能力。

同时,我也明白了实验中的每一个细节都非常重要,只有严格按照实验步骤进行操作,才能保证实验结果的准确性和可靠性。

总之,本次数电实验是我在数字电路领域的一次重要实践,通过实验的过程,我不仅巩固了理论知识,还培养了自己的动手操作和问题解决能力。

数电的小实验报告(3篇)

数电的小实验报告(3篇)

第1篇一、实验目的1. 熟悉数字电路实验的基本操作流程;2. 掌握基本数字电路的组成和原理;3. 培养动手能力和问题解决能力。

二、实验设备1. 数字电路实验箱;2. 万用表;3. 导线;4. 面包板;5. 计算器。

三、实验内容1. 基本逻辑门电路实验2. 组合逻辑电路实验3. 时序逻辑电路实验四、实验原理1. 基本逻辑门电路:逻辑门电路是数字电路的基础,包括与门、或门、非门、异或门等。

通过这些逻辑门电路的组合,可以实现复杂的逻辑功能。

2. 组合逻辑电路:组合逻辑电路由基本逻辑门电路组成,其输出仅取决于当前输入信号。

常见的组合逻辑电路有编码器、译码器、多路选择器等。

3. 时序逻辑电路:时序逻辑电路由触发器组成,其输出不仅取决于当前输入信号,还与电路的历史状态有关。

常见的时序逻辑电路有计数器、寄存器、触发器等。

五、实验步骤1. 基本逻辑门电路实验(1)按照实验指导书的要求,搭建与门、或门、非门、异或门等逻辑门电路;(2)使用万用表测量各逻辑门的输入、输出电压;(3)根据实验数据,验证各逻辑门的功能。

2. 组合逻辑电路实验(1)按照实验指导书的要求,搭建编码器、译码器、多路选择器等组合逻辑电路;(2)使用万用表测量各组合逻辑电路的输入、输出电压;(3)根据实验数据,验证各组合逻辑电路的功能。

3. 时序逻辑电路实验(1)按照实验指导书的要求,搭建计数器、寄存器、触发器等时序逻辑电路;(2)使用万用表测量各时序逻辑电路的输入、输出电压;(3)根据实验数据,验证各时序逻辑电路的功能。

六、实验结果与分析1. 基本逻辑门电路实验实验结果显示,与门、或门、非门、异或门等逻辑门电路的功能与理论分析一致。

2. 组合逻辑电路实验实验结果显示,编码器、译码器、多路选择器等组合逻辑电路的功能与理论分析一致。

3. 时序逻辑电路实验实验结果显示,计数器、寄存器、触发器等时序逻辑电路的功能与理论分析一致。

七、实验总结通过本次实验,我熟悉了数字电路实验的基本操作流程,掌握了基本数字电路的组成和原理,提高了动手能力和问题解决能力。

数电综合实验报告(3篇)

数电综合实验报告(3篇)

第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。

2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。

3. 通过综合实验,培养团队合作精神和实践操作能力。

二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。

2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。

3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。

三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。

(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。

(3)使用ModelSim软件对加法器进行仿真,验证其功能。

2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。

(2)使用Verilog HDL语言编写代码,实现4位计数器。

(3)使用ModelSim软件对计数器进行仿真,验证其功能。

3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。

(2)使用Verilog HDL语言编写代码,实现数字时钟功能。

(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。

四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。

2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。

3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。

五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。

2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。

3. 培养了团队合作精神和实践操作能力。

六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。

2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。

中国石油大学(华东)电工电子实习报告

中国石油大学(华东)电工电子实习报告

第一局部继电控制电路设计与组装1、电路原理与设计电路原理图如上图。

SB1、SB2为点动开关,KM为交流触发器,KT为时间继电器。

实验电压为380V,而灯泡的额定电压为220V,所以电路中串联两个灯泡。

操作过程:闭合SB1,灯泡通电发光;交流触发器的线圈KM通电,常开开关KM闭合,实现了KM的自锁;时间继电器的线圈KT通电,由于延时作用,常闭开关KT延时翻开。

KT翻开后,交流继电器的线圈KM断电,常开开关KM翻开,灯泡断电熄灭。

闭合SB2有同样的效果。

由此实现了异地控制与延时熄灭。

2、收获与建议〔1〕电路设计局部,通过对课本中理论知识的回忆,正确设计出实验电路,加深了对理论知识的理解与掌握。

〔2〕电路组装局部。

第一,再次意识到了平安在实验中的重要性,本实验为380V强电实验,实验电路必须准确无误才能保证实验的平安性,这就要求在实验中严格按照设计的原理图连线,以确保平安。

第二,意识到了合作的重要性。

两人一组的实验,注重分工,更需要默契的配合。

本实验中,固定器件,连线等等均需要合作才能很好的完成。

第三,实验器件的合理布局对最后的连线有着重要的影响。

实验中,我们屡次更换器件的位置,最终使导线交叉弯折尽可能少,布线比拟合理美观。

第二局部温度检测与报警电路5kΩ1、电路原理实验电路原理图如下图,可以分为信号检测,声音报警和继电控制三局部。

信号检测局部完成对温度的检测,利用热敏电阻实现温度信号到电信号的转换,然后利用两个比拟器,实现对报警条件的控制,即越线报警,并通过电容的充放电来延长报警时间。

原理图中,R1、R2、R3、R5、R6 用于分压,RP1、RP2用于调节比拟器两输入端的电位以实现对输出信号的控制,C1、D1、R4用于实现延长报警时间。

声音报警局部接收来自信号检测局部8脚的信号实现报警。

当温度过高时,8脚输出高电平,555处于工作状态。

555的输出端3脚输出为方波,即有上下电平之分,利用两个三极管Q1、Q2的分别导通即可实现报警器的连续报警。

石油大学数电实验

石油大学数电实验

第一次1.熟悉数字电子技术实验箱、学会导线测试箱的使用; 2. 测试实验室常用数字逻辑芯片的逻辑功能:74LS00 74LS02 74LS04 74LS08 74LS20 74LS32 〔预习时查出每个芯片的功能、内部结构以与管脚分配〕3. 用一片74ls00分别实现下列逻辑函数:ABC F =ABC F =B A F +=B A B A F +=〔预习时学画出电路原理图〕4. 化简下列函数并用常用门电路实现:第二次1.用最少的门电路实现三输入变量的奇偶校验电路.当三个输入端有奇数个1时,输出为高,否则为低〔预习时画出电路原理图,注明所用芯片型号〕2.用最少的门电路实现1位全加器〔预习时画出电路原理图,注明所用芯片型号〕3.设A 、B 、C 、D 是4位二进制数〔A 为高位〕,可用来表示16个十进制数.请设计一逻辑电路,使之能区分下列三种情况: 〔1〕4X 0≤≤〔2〕9X 5≤≤〔3〕15X 10≤≤〔预习时画出电路原理图,注明所用芯片型号〕4.用门电路实现"判断输入者与受血者的血型符合规定的电路〞,测试其功能.要求如下:人类由四种基本血型:A 、B 、AB 、O 型.输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O 型血的人只能接受O 型血;AB 型血只能输给AB 型血的人,但AB 血型的人能够接受所有血型的血;A 型血能给A 型与AB 型血的人;而A 型血的人能够接受A 型与O 型血;B 型血能给B 型与AB 型血的人,而B 型血的人能够接受B 型与O 型血.试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平〔提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对〕.约定"00〞代表"O 〞型"01〞代表"A 〞型"10〞代表"B 〞型"11〞代表"AB 〞型〔预习时画出电路原理图,注明所用芯片型号〕第三次1.用一个3线8线译码器和最少的门电路设计一个奇偶校验电路,要求当输入的四个变量中有偶数个1时输出为1,否则为0〔预习时画出电路原理图,注明所用芯片型号〕2.用4选1数据选择器74ls153实现三输入变量的奇偶校验电路.当三个输入端有奇数个1时,输出为高,否则为低〔预习时画出电路原理图,注明所用芯片型号〕3.七段显示译码电路设计:利用集成8421BCD 译码器MC4511对输入的4位二级制数译码,并用共阴极数码管显示〔预习时查出MC4511、共阴极数码管的内部结构与管脚分配,画出原理图〕第四次1.测试JK 触发器逻辑功能:74LS112是双J -K 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS112上一个J -K 触发器的逻辑功能.自拟实验表格,记录实验结果〔预习时查出74LS112的内部结构与管脚分配〕2.测试D 触发器逻辑功能:74LS74是双D 触发器,利用实验箱上的0-1电平、高低电平指示和单脉冲测试74LS74上一个D 触发器的逻辑功能.自拟实验表格,记录实验结果〔预习时查出74LS74的内部结构与管脚分配〕3.用D 触发器和74LS138译码器实现彩灯循环电路.要求8只彩灯,7亮一暗,且这一暗灯可以循环移动〔预习时画出电路原理图〕第五次1.用十进制计数器 74LS90实现六进制计数器2.用74LS161实现10进制计数器,并用两种方法构成6进制计数器,计数循环为0000~0101.3.将上述两步所做成的6进制计数器和10进制计数器级连成60进制的秒计数器〔预习时画出电路原理图〕第六次实验考试题目:1、利用Verilog描述一高电平有效的3-8译码器,并在quartus软件中进行时序仿真和功能仿真.2、利用Verilog描述一4选1的数据选择器,并在quartus软件中进行时序仿真和功能仿真.要求:自学《数字电子技术基础》附录A中的内容,实验前写出程序源代码.4选1的数据选择器程序内容:module text<A,B,C,D,S1,S0,Y>;input A,B,C,D,S1,S0;output Y;reg [1:0] SEL;reg Y;always <A,B,C,D,SEL> beginSEL = {S1,S0};if <SEL==0> Y = A;else if <SEL==1> Y = B;else if <SEL==2> Y = C;else Y = D;endendmodule图1.功能仿真图2.时序仿真高电平有效的3-8译码器:程序内容:module a3_8yimaqi20100620<data_in,data_out>;input[2:0] data_in;output[7:0] data_out;reg [7:0] data_out;always<data_in>begincase<data_in>3'b000:data_out=8'b0000_0001;3'b001:data_out=8'b0000_0010;3'b010:data_out=8'b0000_0100;3'b011:data_out=8'b0000_1000;3'b100:data_out=8'b0001_0000;3'b101:data_out=8'b0010_0000;3'b110:data_out=8'b0100_0000;3'b111:data_out=8'b1000_0000;endcaseendendmodule图3.功能仿真图4.时序仿真题目:3、利用Verilog语言描述一分频器使其能将50MHz的时钟信号转换为1Hz的信号,并在quartus软件中进行时序仿真和功能仿真.4、利用Verilog语言描述一10进制计数器,并在quartus软件中进行时序仿真和功能仿真.5、利用Verilog语言描述一共阳极的7段数码管的译码电路,并在quartus软件中进行时序仿真和功能仿真.要求:自学《数字电子技术基础》附录A中的内容,实验前写出程序源代码.分频器module z2<clk_out,clk_in>;outputclk_out;inputclk_in;integercnt=0;regclk_out=0;always<negedgeclk_in>beginif<1>beginif<cnt==49999999>beginclk_out<=!clk_out;cnt<=0;endelsecnt<=cnt+1;endendendmodule十进制计数module z1<CP,Q>;input CP;output [3:0]Q;reg[3:0]Q;always <posedge CP>beginif<Q<4'b1001>Q<=Q+1;.elseQ<=4'b0000;endendmodule共阳数码管module z3<decodeout,decodein>;output[6:0] decodeout;input[3:0] decodein;reg[6:0] decodeout;always <decodein>begincase<decodein>4'd0:decodeout=7'b0000001;4'd1:decodeout=7'b1001111;4'd2:decodeout=7'b0010010;4'd3:decodeout=7'b0000110;4'd4:decodeout=7'b1001100;4'd5:decodeout=7'b0100100;4'd6:decodeout=7'b0100000;4'd7:decodeout=7'b0001111;4'd8:decodeout=7'b0000000;4'd9:decodeout=7'b0000100;default: decodeout=7'bx;endcaseendendmodule。

数字电路实验的实验报告(3篇)

数字电路实验的实验报告(3篇)

第1篇一、实验目的1. 理解和掌握数字电路的基本原理和组成。

2. 熟悉数字电路实验设备和仪器的基本操作。

3. 培养实际动手能力和解决问题的能力。

4. 提高对数字电路设计和调试的实践能力。

二、实验器材1. 数字电路实验箱一台2. 74LS00若干3. 74LS74若干4. 74LS138若干5. 74LS20若干6. 74LS32若干7. 电阻、电容、二极管等元器件若干8. 万用表、示波器等实验仪器三、实验内容1. 基本门电路实验(1)验证与非门、或非门、异或门等基本逻辑门的功能。

(2)设计简单的组合逻辑电路,如全加器、译码器等。

2. 触发器实验(1)验证D触发器、JK触发器、T触发器等基本触发器的功能。

(2)设计简单的时序逻辑电路,如计数器、分频器等。

3. 组合逻辑电路实验(1)设计一个简单的组合逻辑电路,如4位二进制加法器。

(2)分析电路的输入输出关系,验证电路的正确性。

4. 时序逻辑电路实验(1)设计一个简单的时序逻辑电路,如3位二进制计数器。

(2)分析电路的输入输出关系,验证电路的正确性。

5. 数字电路仿真实验(1)利用Multisim等仿真软件,设计并仿真上述实验电路。

(2)对比实际实验结果和仿真结果,分析误差原因。

四、实验步骤1. 实验前准备(1)熟悉实验内容和要求。

(2)了解实验器材的性能和操作方法。

(3)准备好实验报告所需的表格和图纸。

2. 基本门电路实验(1)搭建与非门、或非门、异或门等基本逻辑电路。

(2)使用万用表测试电路的输入输出关系,验证电路的功能。

(3)记录实验数据,分析实验结果。

3. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发电路。

(2)使用示波器观察触发器的输出波形,验证电路的功能。

(3)记录实验数据,分析实验结果。

4. 组合逻辑电路实验(1)设计4位二进制加法器电路。

(2)搭建电路,使用万用表测试电路的输入输出关系,验证电路的正确性。

(3)记录实验数据,分析实验结果。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档