数电实验实验报告

合集下载

郑大数电实验报告

郑大数电实验报告

一、实验目的1. 理解数字电路的基本概念和基本原理。

2. 掌握数字电路中常用逻辑门电路的功能和特性。

3. 学会使用数字电路实验箱进行基本实验操作。

4. 培养动手实践能力和分析问题、解决问题的能力。

二、实验原理数字电路是由逻辑门电路组成的,用于处理数字信号的电路。

逻辑门电路是数字电路的基本单元,包括与门、或门、非门、异或门等。

本实验主要涉及以下逻辑门电路:1. 与门(AND Gate):只有当所有输入信号都为高电平时,输出信号才为高电平。

2. 或门(OR Gate):只要有一个输入信号为高电平,输出信号就为高电平。

3. 非门(NOT Gate):输入信号为高电平时,输出信号为低电平;输入信号为低电平时,输出信号为高电平。

4. 异或门(XOR Gate):只有当输入信号不同时,输出信号才为高电平。

三、实验仪器与设备1. 数字电路实验箱2. 电源3. 逻辑开关4. 测试灯5. 连接线四、实验步骤1. 与门实验:- 将与门输入端连接到逻辑开关。

- 通过逻辑开关控制输入信号,观察输出信号的变化。

- 记录实验数据,分析实验结果。

2. 或门实验:- 将或门输入端连接到逻辑开关。

- 通过逻辑开关控制输入信号,观察输出信号的变化。

- 记录实验数据,分析实验结果。

3. 非门实验:- 将非门输入端连接到逻辑开关。

- 通过逻辑开关控制输入信号,观察输出信号的变化。

- 记录实验数据,分析实验结果。

4. 异或门实验:- 将异或门输入端连接到逻辑开关。

- 通过逻辑开关控制输入信号,观察输出信号的变化。

- 记录实验数据,分析实验结果。

五、实验结果与分析1. 与门实验:- 输入信号均为高电平时,输出信号为高电平。

- 至少有一个输入信号为低电平时,输出信号为低电平。

2. 或门实验:- 至少有一个输入信号为高电平时,输出信号为高电平。

- 输入信号均为低电平时,输出信号为低电平。

3. 非门实验:- 输入信号为高电平时,输出信号为低电平。

数电实验报告

数电实验报告

一,实验结果分析实验一:Quartus II 原理图输入法设计(2)实验名称:设计实现全加器实验任务要求:用实验内容(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真并验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。

原理图:仿真波形图:仿真波形图分析:输入a,b代表加数与被加数,输入c代表低位向本位的进位。

输出s代表本位和,输出co代表向高位的进位。

可得真值表为:实验三:用VHDL设计与实现时序逻辑电路(3)实验名称:连接8421计数器,分频器和数码管译码器实验任务要求:用VHDL语言设计实现一个带异步复位的8421码十进制计数器,分频器的分频系数为25k,并用数码管显示数字。

VHDL代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity div isport(clk1 : in std_logic;clk_out : out std_logic);end;architecture d of div issignal cnt : integer range 0 to 12499999;signal clk_tmp : std_logic;beginprocess(clk1)beginif (clk1'event and clk1='1') thenif cnt=12499999 thencnt<=0;clk_tmp<= not clk_tmp;elsecnt<=cnt+1;end if;end if;end process;clk_out<=clk_tmp;end;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count10 ISPORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END count10;ARCHITECTURE count OF count10 ISSIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk2,clear2)BEGINIF clear2='1' THEN q_temp<="0000";ELSIF (clk2'event AND clk2='1') THENIF q_temp="1001" THENq_temp<="0000";ELSEq_temp<=q_temp+1;END IF;END IF;END PROCESS;q<=q_temp;END count;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY seg7 ISPORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );END seg7;ARCHITECTURE show OF seg7 ISBEGINPROCESS(a)BEGINCASE a ISWHEN"0000"=>b<="1111110";WHEN"0001"=>b<="0110000";WHEN"0010"=>b<="1101101";WHEN"0011"=>b<="1111001";WHEN"0100"=>b<="0110011";WHEN"0101"=>b<="1011011";WHEN"0110"=>b<="1011111";WHEN"0111"=>b<="1110000";WHEN"1000"=>b<="1111111";WHEN"1001"=>b<="1111011";WHEN OTHERS=>B<="0000000";END CASE;END PROCESS;cat1<="111011";END show;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity jishuqi8421 isport(clk,clear:IN STD_LOGIC;cout:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end jishuqi8421;architecture ji of jishuqi8421 iscomponent div25mport(clk1 : in std_logic;clk_out : out std_logic);end component;component count10PORT(clk2,clear2:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); end component;component seg7PORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); b: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); cat1:OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );end component;signal c:std_logic;signal d:std_logic_vector(3 downto 0);beginu1:div port map(clk1=>clk,clk_out=>c);u2:count10 port map(clk2=>c,clear2=>clear,q=>d); u3:seg7 port map(a=>d,b=>cout,cat1=>cat);end ji;仿真波形图:(由于实际使用的50000000分频不方便仿真,仿真时使用12分频)仿真波形图分析:每隔12个时钟信号计数器的值会增加1,直到计数器的值为9时,再次返回0计数。

数电实验报告范文

数电实验报告范文

数电实验报告范文实验名称:数字电路设计与实现实验目的:通过实验,掌握数字电路设计的基本原理和方法,并了解数字电路中常见的逻辑门的应用和性能特点,学会使用逻辑门组合构成各种数字电路,实现指定功能。

实验原理:1.逻辑门的基本原理与应用:逻辑门是数字电路中最基本,并且最重要的一类元件。

常见的逻辑门有与门、或门、非门,与非门、或非门、异或门等。

它们分别表示并、或、非、与非、或非、异或运算。

2.组合逻辑电路:由多个逻辑门组成的逻辑电路,称为组合逻辑电路。

在组合逻辑电路中,各个逻辑门输出与输入的关系是由逻辑门之间的位置和连接方式决定的。

实验仪器和材料:1.数字电路实验箱2.数字逻辑集成电路(例如74LS00、74LS02、74LS04等)3.连线实验步骤:1.实验前准备:将所需的74系列数字集成电路插入到数字电路实验箱的插槽中并连接好电源。

2.实验一:实现逻辑门的基本逻辑运算a.连接和经逻辑门74LS08,将A、B作为输入,将其输出接到LED指示灯上;b.依次给A、B输入不同的逻辑电平,观察输出结果,并记录下来;c.尝试连接其他逻辑门实现不同的逻辑运算,并观察其输出结果。

3.实验二:组合逻辑电路的设计a.根据实验需求,设计一个3输入与门电路;b.使用74LS08等逻辑门实现该电路;c.给输入端依次输入不同的逻辑电平,观察输出结果,并记录下来。

4.实验三:数字电路的简化和优化a.给定一个复杂的逻辑电路图,使用布尔代数等方法进行化简,寻找最简布尔方程;b.结合实际情况,将最简布尔方程转换为最简的逻辑电路图;c.根据设计的逻辑电路图,使用逻辑门组装出该电路,并验证其功能。

实验数据和结果:1.实验一结果:A,B,输:-------:,:-------:,:---------0,0,0,1,1,0,1,1,2.实验二结果:A,B,C,输:-------:,:-------:,:-------:,:--------0,0,0,0,0,1,0,1,0,0,1,1,1,0,0,1,0,1,1,1,0,1,1,1,3.实验三结果:(示例)原始布尔方程:F=A'B+AB'+AC+B'C最简化布尔方程:F=A⊕B⊕C逻辑电路图:![逻辑电路图](logic_circuit.png)实验结论:通过本次实验,我们学习到了逻辑门的基本原理、应用和各个逻辑门的特点。

数电实验报告实验

数电实验报告实验

一、实验目的1. 理解和掌握数字电路的基本原理和设计方法。

2. 培养动手能力和实验技能。

3. 提高分析问题和解决问题的能力。

二、实验原理数字电路是一种以二进制为基础的电路,其基本元件是逻辑门和触发器。

本实验主要涉及以下几种逻辑门:与门、或门、非门、异或门、同或门、与非门、或非门等。

1. 与门(AND Gate):当所有输入端都为高电平时,输出才为高电平。

2. 或门(OR Gate):当至少一个输入端为高电平时,输出为高电平。

3. 非门(NOT Gate):对输入信号取反。

4. 异或门(XOR Gate):当输入端信号不同时,输出为高电平。

5. 同或门(NOR Gate):当输入端信号相同时,输出为高电平。

6. 与非门(NAND Gate):与门和非门的组合。

7. 或非门(NOR Gate):或门和非门的组合。

三、实验器材1. 数字电路实验箱2. 逻辑门芯片3. 电源4. 连接线5. 测试仪器四、实验步骤1. 组成基本逻辑门电路:根据实验原理,搭建与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路。

2. 测试电路功能:使用测试仪器对搭建的电路进行测试,验证电路是否满足基本逻辑功能。

3. 组成组合逻辑电路:根据实验要求,搭建组合逻辑电路,如全加器、半加器、译码器、编码器等。

4. 测试组合逻辑电路:使用测试仪器对搭建的组合逻辑电路进行测试,验证电路是否满足设计要求。

5. 组成时序逻辑电路:根据实验要求,搭建时序逻辑电路,如触发器、计数器、寄存器等。

6. 测试时序逻辑电路:使用测试仪器对搭建的时序逻辑电路进行测试,验证电路是否满足设计要求。

五、实验结果与分析1. 基本逻辑门电路测试结果:根据测试数据,搭建的与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路均满足设计要求。

2. 组合逻辑电路测试结果:根据测试数据,搭建的全加器、半加器、译码器、编码器等组合逻辑电路均满足设计要求。

数电实验报告

数电实验报告

一、实验名称1. 实验一QuartusⅡ原理图输入法设计与实现2. 实验二用VHDL设计与实现组合逻辑电路(一)3. 实验三用VHDL设计与实现时序逻辑电路(二)4.实验四用VHDL设计与实现时序逻辑电路(三)(数码管动态扫描控制器)二、实验任务要求1.实验一:○1用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元;○2用上面生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号;○3用3线-8线译码器(74LS138)和逻辑门设计实现函数F=CBA+CBA+CBA+CBA,仿真验证其功能,并下载到实验班测试。

要求用拨码开关设定输入信号,发光二极管显示输出信号;2.实验二:○1用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个…1‟时,输出为…1‟,否则输出为…0‟,仿真验证其功能,并下载到实验板测试。

要求用拨码开关设定输入信号,发光二极管显示输出信号;○2用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能,并下载到实验板测试。

要求用拨码开关设定输入信号,发光二极管显示输出信号;○3用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能,并下载到实验班测试。

要求用拨码开关设定输入信号,发光二极管显示输出信号;3.实验三:○1用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器。

要求在Quartus Ⅱ平台上设计程序并仿真验证设计;○2用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验板测试。

要求用拨码开关设定输入信号,发光二极管显示输出信号;○3将分频器、8421十进制计数器、数码管译码器3个电路进行连接并下载测试。

4.实验四:○1用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上,仿真验证其功能,并下载到实验板测试;(必做)○2用VHDL语言设计并实现六个数码管循环左滚动,时钟点亮6个数码管,坐出右进,状态为:012345→123450→234501→345012→450123→501234→012345;(选做)○3用VHDL语言设计并实现六个数码管向左滚动,用全灭的数码管填充右边,直至全部变灭,然后再一次从右边一个一个地点亮。

数电实验报告实验一心得

数电实验报告实验一心得

数电实验报告实验一心得引言本实验是数字电路课程的第一次实验,旨在通过实际操作和观察,加深对数字电路基础知识的理解和掌握。

本次实验主要涉及布尔代数、逻辑门、模拟开关和数字显示等内容。

在实验过程中,我对数字电路的原理和实际应用有了更深入的了解。

实验一:逻辑门电路的实验实验原理逻辑门是数字电路中的基本组件,它能够根据输入的布尔值输出相应的结果。

常见的逻辑门有与门、或门、非门等。

本次实验主要是通过搭建逻辑门电路实现布尔函数的运算。

实验过程1. 首先,我按照实验指导书上的电路图,使用示波器搭建了一个简单的与门电路。

并将输入端连接到两个开关,输出端连接到示波器,以观察电路的输入和输出信号变化。

2. 其次,我打开示波器,观察了两个开关分别为0和1时的输出结果。

当两个输入均为1时,示波器上的信号为高电平,否则为低电平。

3. 我进一步观察了两个开关都为1时的输出信号波形。

通过示波器上的脉冲信号可以清晰地看出与门的实际运行过程,验证了实验原理的正确性。

实验结果和分析通过本次实验,我成功地搭建了一个与门电路,并观察了输入和输出之间的关系。

通过示波器上的信号波形,我更加直观地了解了数字电路中布尔函数的运算过程。

根据实验结果和分析,我可以总结出:1. 逻辑门电路可以根据布尔函数进行输入信号的运算,输出相应的结果。

2. 在与门电路中,当输入信号均为1时,输出信号为1,否则为0。

3. 示例器可以实时显示电路的输入和输出信号波形,方便实验者观察和分析。

结论通过本次实验,我对数字电路的基本原理和逻辑门电路有了更深刻的理解。

我学会了如何搭建逻辑门电路,并通过示波器观察和分析输入和输出信号的变化。

这对我进一步理解数字电路的设计和应用具有重要意义。

通过实验,我还锻炼了动手操作、实际观察和分析问题的能力。

实验过程中,需要认真对待并细致观察电路的运行情况,及时发现和解决问题。

这些能力对于今后的学习和研究都非常重要。

总之,本次实验让我更好地理解了数字电路的基本原理和应用,提高了我的实验能力和观察分析能力。

数电实验报告答案

数电实验报告答案

实验名称:数字电路基础实验实验目的:1. 熟悉数字电路的基本原理和基本分析方法。

2. 掌握数字电路实验设备的使用方法。

3. 培养动手实践能力和分析问题、解决问题的能力。

实验时间:2023年X月X日实验地点:实验室XX室实验仪器:1. 数字电路实验箱2. 万用表3. 双踪示波器4. 数字信号发生器5. 短路线实验内容:一、实验一:基本逻辑门电路实验1. 实验目的- 熟悉与门、或门、非门的基本原理和特性。

- 学习逻辑门电路的测试方法。

2. 实验步骤- 连接实验箱,设置输入端。

- 使用万用表测量输出端电压。

- 记录不同输入组合下的输出结果。

- 分析实验结果,验证逻辑门电路的特性。

3. 实验结果与分析- 实验结果与理论预期一致,验证了与门、或门、非门的基本原理。

- 通过实验,加深了对逻辑门电路特性的理解。

二、实验二:组合逻辑电路实验1. 实验目的- 理解组合逻辑电路的设计方法。

- 学习使用逻辑门电路实现组合逻辑电路。

2. 实验步骤- 根据设计要求,绘制组合逻辑电路图。

- 连接实验箱,设置输入端。

- 测量输出端电压。

- 记录不同输入组合下的输出结果。

- 分析实验结果,验证组合逻辑电路的功能。

3. 实验结果与分析- 实验结果符合设计要求,验证了组合逻辑电路的功能。

- 通过实验,掌握了组合逻辑电路的设计方法。

三、实验三:时序逻辑电路实验1. 实验目的- 理解时序逻辑电路的基本原理和特性。

- 学习使用触发器实现时序逻辑电路。

2. 实验步骤- 根据设计要求,绘制时序逻辑电路图。

- 连接实验箱,设置输入端和时钟信号。

- 使用示波器观察输出波形。

- 记录不同输入组合和时钟信号下的输出结果。

- 分析实验结果,验证时序逻辑电路的功能。

3. 实验结果与分析- 实验结果符合设计要求,验证了时序逻辑电路的功能。

- 通过实验,加深了对时序逻辑电路特性的理解。

四、实验四:数字电路仿真实验1. 实验目的- 学习使用数字电路仿真软件进行电路设计。

数电实验报告

数电实验报告

数电实验报告引言:数电实验是电子信息科学与技术专业中一门重要的实验课程。

通过数电实验,我们可以掌握数字电路的基本原理与设计方法,加深对电子电路原理的理解与应用。

本实验报告将对我们进行的数电实验进行总结与评述,以便更好地理解数电实验的内容和意义。

实验目的:本次数电实验的主要目的是通过实验的方式,掌握数字电路的设计与实现原理,以及相应的实验工具和测试设备的使用方法。

通过实际操作,我们将验证数字电路的可靠性和正确性,并培养我们的实验技能和分析问题的能力。

实验内容:本次数电实验涵盖了多个实验项目,其中包括:逻辑门的实验、组合逻辑电路的实验以及时序逻辑电路的实验等。

1. 逻辑门的实验这一部分我们主要学习并实验了与门、或门、非门、异或门等逻辑门的基本原理与应用。

透过实际连接与测试,我们进一步了解了逻辑门之间的相互转换关系和应用场景。

通过使用示波器、万用表等仪器设备,我们能够验证逻辑门的逻辑功能与实际输出是否一致。

2. 组合逻辑电路的实验在组合逻辑电路的实验中,我们学习了多种组合逻辑电路的设计原理和真值表的绘制方法。

通过实际搭建和测试,我们验证了布尔代数的基本运算规则在实际电路中的应用,并掌握了基本的编码器、解码器和多路选择器等组合逻辑电路的设计与实现方法。

3. 时序逻辑电路的实验时序逻辑电路实验是本次数电实验的重点和难点部分。

通过实验,我们学习了时钟信号的产生与作用原理,掌握了触发器的工作原理和应用方法。

我们还学习了时序逻辑电路的分析与设计技巧,实践了状态图和状态表的绘制方法,进一步体验了时序逻辑电路在数字系统中的重要性和应用价值。

实验结果与分析:通过实验操作和测试数据,我们得出了相应的实验结果,并对实验结果进行了分析。

通过实验数据的处理和对比,我们可以进一步验证电路设计的正确性,找出问题所在并加以改正。

同时,我们还对实验结果进行了数据处理和图表绘制,以便更好地展示实验结果。

总结与反思:通过本次数电实验,我们不仅掌握了数字电路的基本原理和设计方法,还提高了实验操作技能、问题分析和解决能力。

数电实验报告(含实验内容)

数电实验报告(含实验内容)

数电实验报告(含实验内容)班级:专业:姓名:学号:实验一用与非门构成逻辑电路一、实验目的1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能二、实验设备及器材KHD-2 实验台集成 4 输入2 与非门74LS20集成 2 输入4 与非门74LS00 或CC4011三、实验原理本实验用的逻辑图如图 2-1 所示图1-1图1-1四、实验内容及步骤1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。

2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。

3、用与非门实现以下逻辑函数式,测试其逻辑功能,将结果填入表1-3中。

Y(A,B,C)=A’B+B’C+AC班级:专业:姓名:学号:五、实验预习要求1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。

六、实验报告1、将实验数据整理后填入相关的表格中2、分别说明各逻辑电路图所实现的逻辑功能A B C Z A B C Y表1-1 表1-2A B C Y 表1-3班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试一、实验目的1、掌握组合逻辑电路的设计与测试方法2、进一步熟悉常用集成门电路的逻辑功能及使用二、实验设备及器材KHD-2 实验台4 输入2 与非门74LS202 输入4 与非门74LS00 或CC4011三、实验原理使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。

设计组合电路的一般步骤如图2-1 所示。

图 2-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表。

然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。

并按实际选用逻辑门的类型修改逻辑表达式。

根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。

数电实验报告

数电实验报告

数电实验报告实验目的:本实验旨在通过实际操作,加深对数电原理的理解,掌握数字电子技术的基本原理和方法,培养学生的动手能力和实际应用能力。

实验仪器和设备:1. 示波器。

2. 信号发生器。

3. 逻辑分析仪。

4. 电源。

5. 万用表。

6. 示教板。

7. 电路元件。

实验原理:数电实验是以数字电子技术为基础,通过实验操作来验证理论知识的正确性。

数字电子技术是一种以数字信号为工作对象,利用电子器件实现逻辑运算、数字存储、数字传输等功能的技术。

本次实验主要涉及数字逻辑电路的设计与实现,包括基本逻辑门的组合、时序逻辑电路、触发器等。

实验内容:1. 实验一,基本逻辑门的实验。

在示教板上搭建与非门、或门、与门、异或门等基本逻辑门电路,通过输入不同的逻辑信号,观察输出的变化情况,并记录实验数据。

2. 实验二,时序逻辑电路的实验。

利用触发器、计数器等元件,设计并搭建一个简单的时序逻辑电路,通过改变输入信号,验证电路的功能和正确性。

3. 实验三,逻辑分析仪的应用。

利用逻辑分析仪对实验中的数字信号进行观测和分析,掌握逻辑分析仪的使用方法,提高实验数据的准确性。

实验步骤:1. 按照实验指导书的要求,准备好实验仪器和设备,检查电路连接是否正确。

2. 依次进行各个实验内容的操作,记录实验数据和观察现象。

3. 对实验结果进行分析和总结,查找可能存在的问题并加以解决。

实验结果与分析:通过本次实验,我们成功搭建了基本逻辑门电路,观察到了不同输入信号对输出的影响,验证了逻辑门的功能和正确性。

在时序逻辑电路实验中,我们设计并搭建了一个简单的计数器电路,通过实验数据的记录和分析,验证了电路的正常工作。

逻辑分析仪的应用也使我们对数字信号的观测和分析有了更深入的了解。

实验总结:本次数电实验不仅加深了我们对数字电子技术的理解,还培养了我们的动手能力和实际应用能力。

在实验过程中,我们遇到了一些问题,但通过认真分析和思考,最终都得到了解决。

这次实验让我们深刻体会到了理论与实践相结合的重要性,也让我们对数字电子技术有了更加深入的认识。

数电实验报告 数据选择器及其应用

数电实验报告  数据选择器及其应用

实验2实验报告数据选择器及其应用一、实验目的1.了解组合逻辑电路的设计步骤、分析方法和测试方法;2.掌握数据选择器的工作原理与逻辑功能;3.掌握双四选一数据选择器74LS153的应用。

二、实验设备1.数字电路实验箱2 、数字双踪示波器3.集成电路: 74LS004、集成电路: 74LS153三、实验内容1.测试双四选一数据选择器74LS153的逻辑功能;2、设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F;3.用74LS00与74LS153设计一位全加器。

四、实验结果1、测试双四选一数据选择器74LS153的逻辑功能。

如图S5和S6分别接A和B, 负责输入地址;S1.S2.S3.S4为上面选择器的四个输入;S7、S8、S9、S10为下面选择器的四个输入。

举例说明:如图所示, 当S5和S6都输入高电平时, 选择输出1C3和2C3的内容, 即S4和S10的输入均为高电平, 小灯亮。

设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F。

由题意可得出逻辑表达式如下:F=AB(C+D)分析: 由于只有A.B都为高电平时F才有可能输出高电平, 所以让A和B作为地址输入端。

而当A.B均为高电平时, C和D任意一个为高电平则F为高电平。

所以用74LS00实现C和电路图如下:S1、S2接地址选择端, S3、S4先做或运算再接1C3端。

2、用74LS00和可以通B S CI过降维将输入位A和B作为地址选择位,进位位和以及0和1作为被选择数据输入,表示S和CO。

真值表如下:A0 0 CI 低0 1 CI非CI1 0 CI非CI1 1 CI 高五、故障排除在做第二个实验内容的时候, 发现A.B值不是高电平的时候小灯也会亮。

经过检查电路发现1C0, 1C1, 1C2悬空了, 相当于接了高电平。

数电设计实验报告

数电设计实验报告

一、实验目的1. 熟悉数字电路的基本组成和设计方法。

2. 学习组合逻辑电路和时序逻辑电路的设计与实现。

3. 掌握Verilog HDL语言进行数字电路的设计与仿真。

4. 提高数字电路分析与设计能力。

二、实验内容本次实验主要设计一个数字钟电路,要求实现以下功能:1. 显示时、分、秒,时间周期为24小时。

2. 时间基准为1秒对应1Hz的时钟信号。

3. 可通过按键进行校时。

三、实验原理数字钟电路主要由以下部分组成:1. 振荡器:产生基准时钟信号。

2. 分频器:将基准时钟信号分频,得到1Hz的时钟信号。

3. 计数器:对1Hz的时钟信号进行计数,实现秒、分、时的计时。

4. 显示器:将计时结果显示出来。

5. 校时电路:通过按键进行校时操作。

四、实验步骤1. 使用Verilog HDL语言编写数字钟电路的代码。

2. 使用ModelSim进行仿真,验证电路功能。

3. 将代码编译并下载到FPGA芯片上。

4. 在FPGA开发板上进行实验,测试电路功能。

五、实验代码```verilogmodule digital_clock(input clk, // 基准时钟信号input rst_n, // 复位信号,低电平有效 input set, // 校时按键output [5:0] h, // 时output [5:0] m, // 分output [5:0] s // 秒);reg [23:0] counter; // 计数器reg [23:0] h_counter; // 时计数器reg [23:0] m_counter; // 分计数器reg [23:0] s_counter; // 秒计数器// 时计数器always @(posedge clk or negedge rst_n) beginif (!rst_n) beginh_counter <= 24'd0;end else beginif (counter >= 24'd86400) beginh_counter <= h_counter + 24'd1;counter <= 24'd0;end else begincounter <= counter + 24'd1;endendend// 分计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) beginm_counter <= 24'd0;end else beginif (h_counter >= 24'd24) beginm_counter <= m_counter + 24'd1; h_counter <= 24'd0;end else beginm_counter <= m_counter + 24'd1; endendend// 秒计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) begins_counter <= 24'd0;end else beginif (m_counter >= 24'd59) begins_counter <= s_counter + 24'd1;m_counter <= 24'd0;end else begins_counter <= s_counter + 24'd1;endendend// 时、分、秒输出assign h = h_counter[5:0];assign m = m_counter[5:0];assign s = s_counter[5:0];endmodule```六、实验结果1. 仿真结果:使用ModelSim对代码进行仿真,验证电路功能。

数电实验报告东大

数电实验报告东大

一、实验目的1. 理解数字电路的基本组成和基本原理。

2. 掌握常用数字电路的分析和设计方法。

3. 提高动手实践能力,加深对数字电路理论知识的理解。

二、实验内容本次实验主要包含以下内容:1. 数字电路基础实验2. 组合逻辑电路实验3. 时序逻辑电路实验三、实验仪器与设备1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 计算器5. 实验指导书四、实验原理1. 数字电路基础实验:通过实验了解数字电路的基本组成和基本原理,包括逻辑门、编码器、译码器等。

2. 组合逻辑电路实验:通过实验掌握组合逻辑电路的分析和设计方法,包括加法器、编码器、译码器、数据选择器等。

3. 时序逻辑电路实验:通过实验掌握时序逻辑电路的分析和设计方法,包括触发器、计数器、寄存器等。

五、实验步骤1. 数字电路基础实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行逻辑门、编码器、译码器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

2. 组合逻辑电路实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行加法器、编码器、译码器、数据选择器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

3. 时序逻辑电路实验- 连接实验箱,检查电路连接是否正确。

- 按照实验指导书的要求,进行触发器、计数器、寄存器等电路的实验。

- 观察实验结果,分析实验现象,并记录实验数据。

六、实验结果与分析1. 数字电路基础实验- 通过实验,验证了逻辑门、编码器、译码器等电路的基本原理和功能。

- 实验结果符合理论预期,验证了数字电路的基本组成和基本原理。

2. 组合逻辑电路实验- 通过实验,掌握了组合逻辑电路的分析和设计方法。

- 实验结果符合理论预期,验证了组合逻辑电路的基本原理。

3. 时序逻辑电路实验- 通过实验,掌握了时序逻辑电路的分析和设计方法。

- 实验结果符合理论预期,验证了时序逻辑电路的基本原理。

数电项目实验报告(3篇)

数电项目实验报告(3篇)

第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。

2. 掌握常用数字电路的分析方法。

3. 培养动手能力和实验技能。

4. 提高对数字电路应用的认识。

二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。

本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。

四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。

(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。

(3)分析输出波形,验证逻辑门电路的正确性。

2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。

(3)分析输出波形,验证触发器电路的正确性。

3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。

(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。

(3)分析输出波形,验证计数器电路的正确性。

4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。

(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。

(3)分析输出波形,验证寄存器电路的正确性。

五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。

实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。

2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。

实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。

3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。

实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。

数电实验报告

数电实验报告

一、实验目的1. 理解数字电路的基本组成和工作原理。

2. 掌握常用数字电路的设计方法和应用。

3. 熟悉数字电路实验设备和工具的使用。

4. 培养实际操作能力和创新思维。

二、实验原理数字电路是利用数字信号进行信息处理和传输的电路。

它主要由逻辑门、触发器、计数器、译码器等基本单元组成。

本实验主要涉及以下几种数字电路:1. 逻辑门:实现基本的逻辑运算,如与、或、非、异或等。

2. 触发器:存储一位二进制信息,是实现时序逻辑的基础。

3. 计数器:对输入脉冲进行计数,广泛应用于计时、分频等领域。

4. 译码器:将二进制代码转换为其他形式的信号。

三、实验内容1. 逻辑门电路实验:验证基本逻辑门的功能,包括与门、或门、非门、异或门等。

2. 触发器电路实验:验证D触发器、JK触发器、SR触发器等的功能。

3. 计数器电路实验:设计并验证二进制计数器、十进制计数器、可逆计数器等。

4. 译码器电路实验:设计并验证二进制译码器、七段显示译码器等。

四、实验步骤1. 逻辑门电路实验:- 将基本逻辑门电路连接到实验板上。

- 输入不同的逻辑信号,观察输出结果。

- 验证基本逻辑门的功能。

2. 触发器电路实验:- 将D触发器、JK触发器、SR触发器等电路连接到实验板上。

- 输入不同的时钟信号和输入信号,观察输出结果。

- 验证触发器的功能。

3. 计数器电路实验:- 设计并搭建二进制计数器、十进制计数器、可逆计数器等电路。

- 输入不同的时钟信号,观察计数器的输出结果。

- 验证计数器的功能。

4. 译码器电路实验:- 设计并搭建二进制译码器、七段显示译码器等电路。

- 输入不同的二进制代码,观察译码器的输出结果。

- 验证译码器的功能。

五、实验结果与分析1. 逻辑门电路实验:通过实验验证了基本逻辑门的功能,如与门、或门、非门、异或门等。

2. 触发器电路实验:通过实验验证了D触发器、JK触发器、SR触发器等的功能,掌握了触发器的基本工作原理。

3. 计数器电路实验:通过实验设计并验证了二进制计数器、十进制计数器、可逆计数器等,掌握了计数器的设计方法和应用。

数电 实验报告

数电 实验报告

数电实验报告数电实验报告引言:数电实验是电子信息类专业的基础实验之一,通过实践操作,加深学生对数字电路的理解和应用能力。

本文将结合实际实验,对数电实验进行详细的报告。

一、实验目的本次实验的主要目的是通过设计、搭建并测试数字电路,加深对数字电路基本原理的理解,并掌握数字电路的设计和调试方法。

二、实验器材和原理本次实验所需的器材包括数字逻辑实验箱、示波器、函数信号发生器等。

实验原理主要涉及数字逻辑门电路、触发器、计数器等。

三、实验步骤与结果1. 实验一:基本逻辑门电路的设计与测试在实验一中,我们根据所学的逻辑门电路的知识,设计了与门、或门和非门电路,并使用实验箱搭建电路。

通过输入不同的信号,观察输出结果,验证电路的正确性。

实验结果显示,逻辑门电路能够根据输入信号的不同进行逻辑运算,并输出相应的结果。

2. 实验二:触发器的设计与测试在实验二中,我们学习了触发器的基本原理和应用。

通过搭建RS触发器和D触发器电路,并使用函数信号发生器输入时钟信号和触发信号,观察触发器的输出。

实验结果表明,触发器能够根据输入的时钟信号和触发信号,在特定条件下改变输出状态。

3. 实验三:计数器的设计与测试在实验三中,我们学习了计数器的基本原理和应用。

通过搭建二进制计数器电路,使用示波器观察计数器的输出波形,并验证计数器的功能。

实验结果显示,计数器能够根据输入的时钟信号,按照一定规律进行计数,并输出相应的结果。

四、实验总结与心得体会通过本次数电实验,我深刻理解了数字电路的基本原理和设计方法。

在实验过程中,我不仅学会了使用实验器材进行电路搭建和测试,还掌握了数字电路的调试技巧。

通过不断的实践操作,我对数字电路的理论知识有了更加深入的理解。

在今后的学习和工作中,我将继续加强对数字电路的学习和应用,不断提高自己的实践能力。

同时,我也明白了实验中的每一个细节都非常重要,只有严格按照实验步骤进行操作,才能保证实验结果的准确性和可靠性。

总之,本次数电实验是我在数字电路领域的一次重要实践,通过实验的过程,我不仅巩固了理论知识,还培养了自己的动手操作和问题解决能力。

数电综合实验报告(3篇)

数电综合实验报告(3篇)

第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。

2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。

3. 通过综合实验,培养团队合作精神和实践操作能力。

二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。

2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。

3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。

三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。

(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。

(3)使用ModelSim软件对加法器进行仿真,验证其功能。

2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。

(2)使用Verilog HDL语言编写代码,实现4位计数器。

(3)使用ModelSim软件对计数器进行仿真,验证其功能。

3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。

(2)使用Verilog HDL语言编写代码,实现数字时钟功能。

(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。

四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。

2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。

3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。

五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。

2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。

3. 培养了团队合作精神和实践操作能力。

六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。

2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。

数电实验报告

数电实验报告

数电实验报告
数电实验报告
一、实验目的
1.掌握电子学基本测量仪器的使用方法;
2.了解数字电路的运算规律和运算器件的功能特性;
3.学会用运算器件搭建简单的逻辑电路。

二、实验器材和仪器
数字万用表、示波器、电压源、数字集成电路和杜邦线等。

三、实验原理
数字电路是由数字信号组成的信号处理电路。

数字电路可以进行逻辑运算和信息处理,具有逻辑运算精度高、速度快、稳定性好、可靠性高等特点。

数字电路是现代电子技术的重要组成部分,在计算机和通信系统中起着重要的作用。

四、实验过程和结果
首先,我们使用数字万用表和示波器等仪器,对数字电路的电流、电压和频率等进行测量。

然后,我们使用电压源和数字集成电路等器件,搭建数字电路,例如加法器、减法器、乘法器和除法器等。

最后,我们通过调节电压源的电压,观察数字电路的输出结果。

我们发现,当电压小于某个临界值时,输出为低电平;当电压大于该临界值时,输出为高电平。

根据这一规律,我们可以设计出更加复杂的数字电路。

五、实验总结
通过本次实验,我们学会了使用基本的电子学测量仪器,了解了数字电路的基本原理和技术特点。

同时,我们还了解了数字电路的运算规律和运算器件的功能特性,掌握了用运算器件搭
建简单逻辑电路的方法。

未来,我们可以用这些知识和技能来设计和实现更加复杂和高效的数字电路,为真正的实际应用做好准备。

数电逻辑实验报告

数电逻辑实验报告

一、实验目的1. 理解数字电路的基本概念和逻辑门的工作原理。

2. 掌握逻辑门电路的连接方法,并能设计简单的逻辑电路。

3. 熟悉数字实验仪器的使用,并能进行基本的逻辑测试。

4. 通过实验加深对数字电路理论知识的理解。

二、实验原理数字电路是由逻辑门、触发器等基本单元构成的电路。

逻辑门是数字电路的核心元件,根据输入信号的不同,输出信号也会随之改变。

常见的逻辑门有与门、或门、非门、异或门等。

本实验主要涉及以下几种逻辑门:1. 与门(AND):当所有输入信号都为高电平时,输出信号才为高电平。

2. 或门(OR):当任意一个输入信号为高电平时,输出信号就为高电平。

3. 非门(NOT):将输入信号的逻辑值取反,即输入高电平时输出低电平,输入低电平时输出高电平。

4. 异或门(XOR):当输入信号不同时,输出信号为高电平,输入信号相同时,输出信号为低电平。

三、实验仪器与设备1. 数字实验仪2. 逻辑门芯片(如74LS00、74LS86等)3. 电源4. 连接线5. 测试仪四、实验内容与步骤1. 逻辑门测试(1)将数字实验仪的输入端与逻辑门芯片的输出端相连,通过测试仪观察输出信号。

(2)按照实验指导书的要求,将逻辑门芯片的输入端连接不同的电平,观察输出端信号的逻辑值。

(3)验证与门、或门、非门、异或门的逻辑功能。

2. 组合逻辑电路设计(1)根据实验要求,设计一个组合逻辑电路。

(2)根据逻辑表达式,绘制电路图。

(3)将电路图连接到数字实验仪上,观察输出信号是否符合预期。

3. 逻辑测试(1)使用测试仪测试逻辑门的逻辑功能。

(2)测试组合逻辑电路的逻辑功能。

(3)验证电路的正确性。

五、实验结果与分析1. 通过实验,验证了与门、或门、非门、异或门的逻辑功能。

2. 设计并实现了实验要求的组合逻辑电路,验证了电路的正确性。

3. 通过逻辑测试,发现并解决了电路中存在的问题。

六、实验总结通过本次实验,我们掌握了数字电路的基本概念和逻辑门的工作原理,熟悉了数字实验仪器的使用,并能设计简单的逻辑电路。

数电实验报告

数电实验报告

数字电子技术实验报告学号:姓名:班级:实验一组合逻辑电路分析一、实验用集成电路引脚图74LS00集成电路:74LS20集成电路:二、实验内容1.ABCD接逻辑开关,“1”表示高电平,“0”表示低电平。

电路图如下:A=B=C=D=1时(注:逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。

)表格记录:结果分析:由表中结果可得该电路所实现功能的逻辑表达式为:F=AB+CD。

在multisim软件里运用逻辑分析仪分析,可得出同样结果:2.密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为”1”,将锁打开。

否则,报警信号为”1”,则接通警铃。

试分析密码锁的密码ABCD是什么?电路图如下:A=B=C=D=1时A=B= D=1,C=0时2.5 VA= D=1,B=C=0时记录表格:结果分析:由表可知,只有当A=D=1,B=C=0时,开锁灯亮;其它情况下,都是报警灯亮。

因此,可知开锁密码是1001。

三、实验体会与非门电路可以实现多种逻辑函数的功能模拟,在使用芯片LS7400和LS7420时,始终应该注意其14脚接高电平,8脚接地,否则与非门无法正常工作。

利用单刀双掷开关,可以实现输入端输入高/低电平的转换;利用LED灯可以指示输出端的高低电平。

实验二组合逻辑实验(一)半加器和全加器一、实验目的熟悉用门电路设计组合电路的原理和方法步骤。

二、预习内容1.预习用门电路设计组合逻辑电路的原理和方法步骤。

2.复习二进制数的运算。

①用与非门设计半加器的逻辑图。

②完成用异或门、与非门、与或非门设计全加器的逻辑图。

③完成用异或门设计的三变量判奇电路的原理图。

三、参考元件74LS283: 74LS00:74LS51: 74LS136:四、实验内容1.用与非门组成半加器,用异或门、与或非门、与非门组成全加器。

实验结果填入表中。

(1)与非门组成的半加器。

电路图如下(J1、J2分别代表Ai、Bi,图示为Ai、Bi分别取不同的电平时的仿真结果):2.5 V2.5 V2.5 V记录表格:(2)异或门、与或非门、与非门组成的全加器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字电路实验报告实验一 组合逻辑电路分析一.试验用集成电路引脚图74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一2.实验二密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。

否则,报警信号为“1”,则接通警铃。

试分析密码锁的密码X12.5 VA BCD示灯:灯亮表示“1”,灯灭表示“0”ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平ABCD是什么?ABCD 接逻辑电平开关。

最简表达式为:X1=AB’C’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0111111111三.实验体会:1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。

2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。

实验二 组合逻辑实验(一) 半加器和全加器一.实验目的1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容1. 复习用门电路设计组合逻辑电路的原理和方法步骤。

2. 复习二进制数的运算。

3. 用“与非门”设计半加器的逻辑图。

4. 完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。

5. 完成用“异或”门设计的3变量判奇电路的原理图。

三.元件参考U1A74LS00DU1B74LS00DU1C 74LS00DU1D 74LS00DU2A74LS00D U2B74LS00D U2C74LS00DU3A74LS20DX12.5 VX22.5 VVCC5VABCD依次为74LS283、74LS00、74LS51、74LS136其中74LS51:Y=(AB+CD )’,74LS136:Y=A ⊕B (OC 门) 四.实验内容1. 用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟)半加器全加器2. 用异或门设计3变量判奇电路,要求变量中1的个数为奇数是,输出为1,否则为0.NOR2SC3.“74LS283”全加器逻辑功能测试测试结果填入下表中:五.实验体会:1.通过这次实验,掌握了熟悉半加器与全加器的逻辑功能2.这次实验的逻辑电路图比较复杂,涉及了异或门、与或非门、与非门三种逻辑门,在接线时应注意不要接错。

各芯片的电源和接地不能忘记接。

实验三组合逻辑实验(二)数据选择器和译码器的应用一.实验目的熟悉数据选择器和数据分配器的逻辑功能和掌握其使用方法二.预习内容1. 了解所有元器件的逻辑功能和管脚排列2. 复习有关数据选择器和译码器的内容3. 用八选一数据选择器产生逻辑函数L=ABC+ABC’+A’BC+A’B’C 和L=A ⊕B ⊕C4. 用3线—8线译码器和与非门构成一个全加器 三.参考元件数据选择器74LS151,3—8线译码器74LS138.四.实验内容1.数据选择器的使用:当使能端EN=0时,Y 是A 2,A 1,A 0和输入数据D 0~D 7的与或函数,其表达式为:Y=∑mi ∗Di 7i=0(表达式1)式中m i 是A 2,A 1,A 0构成的最小项,显然当D i =1时,其对应的最小项m i 在与或表达式中出现。

当D i =0时,对应的最小项就不出现。

利用这一点,不难实现组合电路。

将数据选择器的地址信号A 2,A 1,A 0作为函数的输入变量,数据输入D 0~D 7作为控制信号,控制各最小项在输出逻辑函数中是否出现,是能端EN 始终保持低电平,这样,八选一数据选择器就成为一个三变量的函数产生器。

①用八选一数据选择器74LS151产生逻辑函数 将上式写成如下形式:L=m 1D 1+m 3D 3+m 6D 6+m 7D 7该式符合表达式1的标准形式,显然D 1、D 3、D 6、D 7都应该等于1,二式中没有出现的最小项m 0、m 2、m 4、m 5,它们的控制信号D 0、D 2、D 4、D 5都应该等于0。

由此可画出该逻辑函数产生器的逻辑图。

L=ABC+ABC’+A’BC+A’B’C②用八选一数据选择器74LS151产生逻辑函数根据上述原理自行设计逻辑图,并验证实际结果。

2.3线—8线译码器的应用用3线—8线译码器74LS138和与非门构成一个全加器。

写出逻辑表达式并设计电路图,验证实际结果。

3.扩展内容用一片74LS151构成4变量判奇电路五、实验体会1.数据选择器用来对数据进行选择,特别选择适用于函数的分离,是比较常用的组合逻辑器件;译码器用于数据的编码与译码中,也是较常用的逻辑器件。

2.集成的组合逻辑电路也是有简单的门电路组合而成,可以根据对逻辑电路的连接,集成的逻辑器件之间可以相互转化,功能也进行了扩展了。

实验四:触发器和计数器一、实验目的1、熟悉J-K触发器的基本逻辑功能和原理。

2、了解二进制计数器工作原理。

3、设计并验证十进制,六进制计数器。

二、预习内容1、复习有关R-S触发器,J-K触发器,D触发器的内容。

触发器是构成时序逻辑电路的基本逻辑单元,具有记忆、存储二进制信息的功能。

从功能上看,触发器可分为RS、D、JK、T、T’等几种类型。

上述几种触发器虽然功能不同,但相互之间可以转换。

边沿触发器是指,只有在时钟脉冲信号CP的上升沿或者是下降沿到来时,接收此刻的输入信号,进行状态转换,而在其它任何时候输入信号的变化都不会影响到电路的状态。

2、预习有关计数器的工作原理。

统计输入脉冲个数的过程计数。

能够完成计数工作的电路成为计数器。

计数器的基本功能是统计时钟脉冲的个数,即实现计数操作,也用于分频、定时、产生节拍脉冲等。

计数器的种类很多,根据计数脉冲引入方式的不同,将计数器分为同步计数器和异步计数器;根据计数过程中计数变化趋势,将计数器分为加法计数器、减法计数器、可逆计数器;根据计数器中计数长度的不同,可以将计数器分为二进制计数器和非二进制计数器(例如十进制、N进制)。

二进制计数器是构成其他各种计数器的基础。

按照计数器中计数值的编码方式,用n表示二进制代码,N表示状态位,满足N=2“的计数器称作二进制计数器。

74LS161D 是常见的二进制加法同步计数器3、用触发器组成三进制计数器。

设计电路图。

4、用74 LS 163和与非门组成四位二进制计数器,十进制计数器,六进制计数器。

设计电路图。

三、参考元件74LS00 74LS10774LS74 74LS163 四、实验内容1.R -S 触发器逻辑功能测试3.用74LS163组成六进制计数器输出QA QB QC QD 从0000逐渐增1直至0101,此时QA=1,QC=1,经过与非门后为低电平,输入至CLR 同步清零,又开始了下一轮的计数。

故计数范围为0000——0101,为六进制计数器。

4.用74LS163组成十进制计数器DCD_HEXDCD_HEX输出QA QB QC QD 从0000逐渐增1直至1001,此时QA=1,QD=1,经过与非门后为低电平,输入至CLR同步清零,又开始了下一轮的计数。

故计数范围为0000——1001,为十进制计数器。

1、用74LS163组成六十进制计数器五、实验体会:这次试验熟悉了计数器、译码器、显示器等器件的使用方法,学会用它们组成具有计数、译码、显示等综合电路,并了解它们的工作原理。

利用常用计数器通过设计可以实现非常用进制计数器,一般有同步和异步两种不同的方案,同时也可以采用清零和预置数来达到归零的目的。

实验五555集成定时器一.实验目的熟悉与使用555集成定时器二.实验内容1.555单稳电路1)按图连接,组成一个单稳触发器2)测量输出端,控制端的电位与理论计算值比较3)用示波器观察输出波形以及输出电压的脉宽。

tw=RCln3=1.1RC2.555多谐振荡器1)按图接线,组成一个多谐振荡器输出矩形波的频率为:f=1.43/(R1+2R2)2)用示波器观察波形通过示波器观察到输出波形为脉冲波3.接触开关按图接线,构成一个接触开关,摸一下触摸线,LED亮一秒三.实验体会本次实验是关于555集成定时器以及它构建的触发器和振荡器。

555定时器在逻辑电路中用得非常广泛,可以由它产生各种各样的脉冲波形,一般作为信号源来使用。

实验六数字秒表一.实验目的:1、了解数字计时装置的基本工作原理和简单设计方法。

2、熟悉中规模集成器件和半导体显示器的使用。

3、了解简单数字装置的调试方法,验证所设计的数字秒表的功能。

二.实验元件:集成元件:555一片,74LS163一片,74LS248两片,LED两片,74LS00两片。

二极管IN4148一个,电位器100K一个,电阻,电容。

三.实验内容:1、实验原理框图①秒信号发生器用555定时器构建多谐振荡电路而成②六十进制计数器用两块74LS163组成③译码电路由74LS148组成④数码显示由LED组成。

2、设计内容及要求①用上述元器件设计一个数字秒表电路,电路包含秒脉冲发生器、计数、译码,显示00至59秒。

②具有清零、停止、启动功能。

③至少使用一块74LS248芯片及共阴极显示器。

3实验电路图设计如下:开关A B置于高电平时开始计数,A置于低电平的时候暂停计数,B置于低电平的时候清零。

四.实验体会:最后的实验为一综合性实验,综合考察了555定时器与计数电路的应用。

其中在接线时应先检查导线的通断后在使用,可以节省不必要浪费的时间;另外要将电路分割成不同的功能块来拼接会是过程清晰、简洁。

由多谐振荡器产生的信号接入计数器时应用与非门来处理一下,否则计数器会出现乱码,可见理论与实际是有偏差的,可能是多谐振荡器产生的方波中有干扰信号。

(注:可编辑下载,若有不当之处,请指正,谢谢!)。

相关文档
最新文档