跑马灯的设计与仿真1(电子科大版)

合集下载

微机原理(基于PROTEUS的跑马灯系统设计及仿真)

微机原理(基于PROTEUS的跑马灯系统设计及仿真)

学号:课程设计题目基于PROTEUS的跑马灯系统设计及仿真学院自动化学院专业自动化班级姓名指导教师2012 年 1 月12 日任务书目录引言 (1)1总体方案论证 (2)1.1功能分析 (2)1.2系统连接图设计 (2)1.2.1锁存控制电路 (5)1.2.2可编程并行通信接口芯片8255A (6)2程序流程图设计及其说明 (9)3关键程序段落说明 (11)3.1数据段定义 (11)3.2程序初始化 (11)3.3芯片初始化 (12)3.4初始LED亮灭状态 (12)3.5检测按键开关子程序 (12)3.6延时程序片段 (14)3.7灯光变换控制 (15)4程序调试说明 (16)5结果记录及分析 (17)心得体会 (19)参考文献 (20)引言微型计算机简称微机,由于具备人脑某些功能,所有又叫做微机。

是由大规模集成电路组成的、体积较小的电子计算机。

它是以微处理器为基础,配以存储器及输入输出接口电路和相应的辅助电路构成的裸机。

把微型计算机集成在一个芯片上即构成单片微型计算机。

学习微机原理与接口技术,主要容包括微型计算机体系结构、8086微处理器和指令系统、汇编语言、设计以及微型计算机各个组成部分,而其中很大一块就是汇编语言的学习。

汇编语言是面向机器的程序设计语言,也是利用计算机所有硬件特性并能直接控制硬件的语言。

用汇编语言编写的程序由于目标程序占用存少,运行速度快,它有着高级语言不可替代的用途。

因此,学习汇编语言是很必要的,通过学习汇编语言可以体会它的作用。

通过本次课程设计让我们进一步深入汇编语言的学习,掌握简单的接口设计技术,将理论知识联系实际,进一步学习微机原理与接口技术的相关知识,为以后深入学习打下良好的基础。

1总体方案论证1.1功能分析此次课程设计的要求为,设计微型计算机最小系统,实现跑马灯的模拟显示功能。

具体要求为:(1)、输入设备三个启动按钮、一个停止按钮,输出设备为八个跑马灯;(2)、三个启动按钮对应三种跑马灯显示效果,按下任意一个启动按钮,跑马灯显示对应的效果,按下停止按钮则跑马灯全部熄灭。

微机原理(基于PROTEUS的跑马灯系统设计及仿真)资料

微机原理(基于PROTEUS的跑马灯系统设计及仿真)资料

课程设计题目基于PROTEUS的跑马灯系统设计及仿真学院自动化学院专业自动化班级姓名指导教师2012 年 1 月12 日任务书目录引言 (1)1总体方案论证 (2)1.1功能分析 (2)1.2系统连接图设计 (2)1.2.1锁存控制电路 (5)1.2.2可编程并行通信接口芯片8255A (6)2程序流程图设计及其说明 (9)3关键程序段落说明 (11)3.1数据段定义 (11)3.2程序初始化 (11)3.3芯片初始化 (12)3.4初始LED亮灭状态 (12)3.5检测按键开关子程序 (12)3.6延时程序片段 (14)3.7灯光变换控制 (15)4程序调试说明 (16)5结果记录及分析 (17)心得体会 (19)参考文献.................................................................. 错误!未定义书签。

引言微型计算机简称微机,由于具备人脑某些功能,所有又叫做微机。

是由大规模集成电路组成的、体积较小的电子计算机。

它是以微处理器为基础,配以内存储器及输入输出接口电路和相应的辅助电路构成的裸机。

把微型计算机集成在一个芯片上即构成单片微型计算机。

学习微机原理与接口技术,主要内容包括微型计算机体系结构、8086微处理器和指令系统、汇编语言、设计以及微型计算机各个组成部分,而其中很大一块就是汇编语言的学习。

汇编语言是面向机器的程序设计语言,也是利用计算机所有硬件特性并能直接控制硬件的语言。

用汇编语言编写的程序由于目标程序占用内存少,运行速度快,它有着高级语言不可替代的用途。

因此,学习汇编语言是很必要的,通过学习汇编语言可以体会它的作用。

通过本次课程设计让我们进一步深入汇编语言的学习,掌握简单的接口设计技术,将理论知识联系实际,进一步学习微机原理与接口技术的相关知识,为以后深入学习打下良好的基础。

1总体方案论证1.1功能分析此次课程设计的要求为,设计微型计算机最小系统,实现跑马灯的模拟显示功能。

EDA实验 跑马灯

EDA实验 跑马灯

实验题目:跑马灯设计一、设计任务:设计8个LED进行花式显示,设计4种显示模式:1、从左到右逐个点亮LED;2、从右到左逐个点亮LED;3、从两边到中间逐个点亮LED;4、从中间到两边逐个点亮LED。

使得四种模式循环切换,由复位键rst控制系统的运行与停止。

二、实验过程⒈设计思路:分析该题目出现的所有状态,用“00000000”表示s0,“10000000”表示s1,“01000000”表示s2,“00100000”表示s3,“00010000”表示s4,“00001000”表示s5,“00000100”表示s6,“00000010”表示s7,“00000001”表示s8,“10000001”表示s9,“01000010”表示s10,“00100100”表示s11,“00011000”表示s12,另外定义了一个中间变量pp,用来表示该种状态的下一个状态为何,用来满足四种状态模式的连接操作。

在程序的结构体中编写两种进程,时序进程和组合进程,它们分别用来控制时钟、复位等时序信息和具体的状态转换关系。

根据状态转换图,对每一种状态编写下一项的确定状态,用pp来引导。

同时在适当的位置,进行pp值的定义变化,分别是“00000000”、“10000000”、“00000001”、“00011000”、“10000001”。

⒉设计方案:将列写出的状态转换图用VHDL语言表达出来,设计好相应的输入输出端口及功能过程,进行设计处理,编译文件,修改其中的错误,再用波形图进行编辑仿真描述,以便检查其功能是否正确,最后下载链接到硬件设备上检查其功能。

⒊设计步骤:(1)用VHDL语言设计实现计数器:运行QuartusII软件,选择菜单File/New Project Wizard...,新建一个项目,选择cyclone系列的芯片;在此项目下新建VHDL文件,编写计数器代码:library ieee;use ieee.std_logic_1164.all;entity test3 isport(clk, reset,stop : in std_logic;qout : buffer std_logic_vector(7 downto 0));end test3;architecture be of test3 istype fsm_st is(s0, s1, s2, s3, s4, s5, s6, s7, s8, s9, s10, s11, s12);signal current_state, next_state : fsm_st;signal pp : std_logic_vector(1 downto 0);beginreg : process(reset, clk)beginif reset = '1' then current_state <= s0;elsif rising_edge(clk) thencurrent_state <= next_state;end if;end process;com : process(current_state, reset)begincase current_state iswhen s0 => qout <= "00000000";if( reset ='0' and stop='0') then next_state <= s1; pp <= "00"; end if;when s1 => qout <= "10000000";if (pp = "00" and stop='0') then next_state <= s2;elsif (pp = "01" and stop='0')then next_state <= s9; pp <= "10"; end if;when s2 => qout <= "01000000";if (pp = "00" and stop='0')then next_state <= s3;elsif (pp = "01" and stop='0')then next_state <= s1;end if;when s3 => qout <= "00100000";if (pp = "00" and stop='0') then next_state <= s4;elsif (pp = "01" and stop='0')then next_state <= s2;end if;when s4 => qout <= "00010000";if (pp = "00" and stop='0')then next_state <= s5;elsif( pp = "01" and stop='0')then next_state <= s3;end if;when s5 => qout <= "00001000";if( pp = "00" and stop='0')then next_state <= s6;elsif (pp = "01" and stop='0')then next_state <= s4;end if;when s6 => qout <= "00000100";if (pp = "00" and stop='0')then next_state <= s7;elsif( pp = "01" and stop='0')then next_state <= s5;end if;when s7 => qout <= "00000010";if (pp = "00" and stop='0')then next_state <= s8;elsif (pp = "01" and stop='0')then next_state <= s6;end if;when s8 => qout <= "00000001";if (pp = "00" and stop='0')then next_state <= s7; pp <= "01"; end if;when s9 => qout <= "10000001";if (pp = "10" and stop='0')then next_state <= s10;elsif (pp = "11" and stop='0')then next_state <= s1; pp <= "00"; end if;when s10 => qout <= "01000010";if (pp = "10" and stop='0')then next_state <= s11;elsif (pp = "11" and stop='0')then next_state <= s9;end if;when s11 => qout <= "00100100";if (pp = "10" and stop='0')then next_state <= s12;elsif (pp = "11" and stop='0')then next_state <= s10;end if;when s12 => qout <= "00011000";if (pp = "10" and stop='0') then next_state <= s11; pp <= "11"; end if;when others => null;end case;end process;end be;(2)将此文件进行编译.(3)新建一个vector waveform form波形图文件,设置为波形仿真。

数电课程设计(跑马灯)

数电课程设计(跑马灯)

数字电子技术课程设计设计题目:跑马灯目录设计题目 (1)1设计要求及主要技术指标.. ............................. .. (3)1.1 设计要求 (3)1.2 主要技术指标 (3)2 设计过程 (3)2.1题目分析 (3)2.2 整体构思 (3)2.3 具体实现 (4)3 元件说明 (4)3.1 元件说明 (4)4 检查过程 (7)4.1 检查过程 (7)4.2 遇到问题及解决措施..................... . (7)5 心得体会........................... ............. (8)参考文献......................................... (9)附录一:电路原理图 (9)附录二:元件清单 (10)一.设计要求及主要技术指标1.1 设计要求(1)、根据技术指标要求确定电路形式,分析工作原理,计算元件参数。

(2)、列出所用元器件清单并购买。

(3)、安装调试所设计的电路,使之达到设计要求。

(4)、记录实验结果。

(5)、撰写设计报告。

1.2 主要技术指标(1)实现8灯循环点亮。

(2)间隔时间可调。

(3)广告灯的样式自定。

二.设计过程2.1题目分析我们设计的跑马灯实际上是主要使用一个74LS161,一个74LS138,一个74LS20和一个78L05这四个芯片对8个LED进行控制,产生循环控制的效果。

形成一个流水似的广告灯。

2.2 整体构思拟定系统方案框图,画出系统框图中每框的名称、信号的流向,各框图间的接口,使用protel99电路设计软件画出原理图,再生成网络表和PCB板。

对照原理图进行统计要使用的元器件后,在电子市场购买所需要的电子元器件。

最后在指导老师的辅导下在实验室进行下一步工作。

2.3具体实现我们需要使用碳粉打印机把我们设计的原理图打印出来,不过要注意必须要使用不干胶纸的光滑面进行打印。

跑马灯课程设计

跑马灯课程设计
对于EPROM型单片机,在EPROM编程期间,此引脚用于施加21V的编程电源(VPP)。
2)时钟振荡电路引脚XTAL1和XTAL2:
(1)XTAL1(19脚):外接石英晶体和微调电容引脚1。它是片内振荡电路反向放大器的输入端。采用外部振荡器时此引脚接地。
(2)XTAL2(18脚):外接石英晶体和微调电容引脚2。它是片内振荡电路反向放大器的输出端。采用外部振荡器时此引脚为外部振荡信号输入端。
学习单片机的最有效方法就是理论与实践并重,现在我把单片机流水灯设计作为一个课程设计,需要更深的去了解单片机的很多功能,努力的去查找资料。本课题将以发光二极管作为发光器件,用单片机自动控制,实现一个简易的跑马灯设计。
2
2.1 AT89C51芯片功能特性及应用
单片机在我们的日常生活和工作中无处不在、无处不有:家用电器中的电子表、洗衣机、电饭褒、豆浆机、电子秤;住宅小区的监控系统、电梯智能化控制系统;汽车电子设备中的ABS、GPS、ESP、TPMS;医用设备中的呼吸机,各种分析仪,监护仪,病床呼叫系统;公交汽车、地铁站的IC卡读卡机、滚动显示车次和时间的LED点阵显示屏;电脑的外设,如键盘、鼠标、光驱、打印机、复印件、传真机、调制解调器;计算机网络的通讯设备;智能化仪表中的万用表,示波器,逻辑分析仪;工厂流水线的智能化管理系统,成套设备中关键工作点的分布式监控系统;导弹的导航装置,飞机上的各种仪表等等。有资料表明:2007年全球单片机的产值达到151亿美元,我国单片机的销售额达到400亿元人民币,我国每年单片机的需求量达50至60亿片,是全球单片机的最大市场。可以说单片机已经渗透到了我们生活的各个领域。
4) (29脚):外部程序存储器的读选通信号输出端,低电平有效。在从外部程序存储器取指令(或常数)期间,此引脚定时输出负脉冲作为读取外部程序存储器的信号,每个机器周期 两次有效,此时地址总线上送出的地址为外部程序存储器地址;在此期间,如果访问外部数据存储器和内部程序存储器,不会产生 信号。

单片机(跑马灯,跑马灯,方波,交通灯)设计

单片机(跑马灯,跑马灯,方波,交通灯)设计

《单片机应用设计报告》系别电子信息与电气工程系专业自动化班级 09 级 (1) 班姓名王杰王典老师储忠完成时间 2012年5月18日单片机原理及接口技术课程设计报告摘要:单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。

MCS-51单片机是使用极为广泛的一款8位单片机,在此次实训中所用的单片机是美国Atmel公司生产的以8031为内核的AT89S52单片机。

实训分别以构建单片机最小系统版、74HC138流水灯、8255交通灯、8253方波、6N137光耦控制继电器等几个实验关键词:AT89S52 74HC138 8255A 8253 6N137 交通灯目录单片机原理及接口技术课程设计报告 (1)实验一构建单片机最小系统和实验环境熟悉 (3)1.1单片机的工作原理 (3)1.1.1单片机最小系统图 (3)1.1.2运算器简介 (4)1.1.3控制器简介 (5)1.1.4实验解析与总结 (7)实验二跑马灯实验及74HC138译码器 (7)2.1实验内容 (7)2.1.1实验原理 (8)2.1.2实验原理图 (8)2.1.3实验程序流程图 (9)2.1.4实验程序代码 (9)2.1.5完成后的效果图 (10)2.2实验总结 (10)实验三8255控制交通灯实验 (11)3.1实验内容 (11)3.1.3实验原理 (11)3.1.2实验原理电路图 (12)3.1.3程序流程图 (13)3.1.4实验程序代码 (13)3.1.5系统实现图 (15)3.2 8255A寻址原理 (15)3.3实验总结 (16)实验四8253方波实验 (17)4.1实验内容 (17)4.1.1实验原理图 (17)4.1.2实验原理电路图 (17)4.1.3程序流程图 (18)4.1.4程序流程代码 (19)4.1.4系统仿真 (20)4.2实验总结 (21)实训总结 (21)附录 (22)1仿真系统电路原理图 (22)2硬件实物照片 (23)实验一构建单片机最小系统和实验环境熟悉1.1单片机的工作原理1.1.1单片机最小系统图单片机最小系统主要有外部晶振电路,系统复位电路以及供电电源组成。

充电宝跑马灯仿真设计

充电宝跑马灯仿真设计

充电宝跑马灯仿真设计
充电宝跑马灯仿真设计是指将充电宝设计成仿真的跑马灯效果,通过LED灯或其他形式的灯光来展示。

设计思路:
1. 外观设计:充电宝可以采用圆柱形状或其他适合的形状,表面可以设计成透明或半透明材质,以便于灯光的散射和透过。

2. LED灯设计:在充电宝内部安装多个LED灯,可以选择不
同颜色的灯光,如红、绿、蓝等,或采用RGB三基色的组合。

LED灯可以安装在充电宝的内侧或者顶部,并且密集排列,
以达到良好的跑马灯效果。

3. 控制系统:通过电路板或其他控制装置,将LED灯的开关
控制和灯光变化控制。

可以设置灯光的亮度、频闪速度、亮灭顺序等参数,以模拟跑马灯的效果。

4. 电源设计:充电宝需要有合适的电源供电,以确保跑马灯效果的持续和稳定。

设计效果:
充电宝跑马灯仿真设计实现后,充电宝可以呈现出不同颜色的灯光交替闪烁的效果,类似于跑马灯的效果。

当用户使用充电宝充电或者开启充电宝时,灯光就会跳跃、闪烁、循环或变化,从而增加了充电宝的趣味性和吸引力。

同时,这种设计也可以起到提醒和提示的作用,给用户带来更好的使用体验。

EDA综合实践-跑马灯

EDA综合实践-跑马灯

XI ’AN UNIVERSITY OF TECHNOLOGYEDA 综合实践跑马灯设计一.设计要求:1、控制16个led进行显示2、模式1:依次点亮led;模式2:从中间向两边依次点亮led;模式3:按顺序依次点亮4led,循环显示,就是说,16个led中只有4个led亮。

3、三种模式在按键的控制下,可循环切换。

二..设计跑马灯原理其原理框图如下:由原理图上可以清楚的看到,首先选择时钟输入。

选择50M的时钟输入,再经由分频器分成1Hz的频率作为状态机的时钟输入。

题目要求用键盘控制,故用clink键盘输入,并加上复位键作为整体的复位控制。

由于led灯的状态有十六种,故而需要按键clink的频率为输入1Hz时钟信号的16倍(波形仿真中已有)。

其次是状态机控制四种状态:st0,st1,st2,st3。

经由4选一数据选择器输出接入16个led灯显示。

三、源程序module wer(clk50M,reset,clink,z);input clk50M;input reset;input clink;//键盘输入output reg[15:0] z;reg[4:0] state0,state1,state2,state3;reg[15:0] st0,st1,st2,st3;reg [2:0] mode;reg[64:0] count;wire clk1hz;parameters0='d0,s1='d1,s2='d2,s3='d3,s4='d4,s5='d5,s6='d6,s7='d7,s8='d8,s9='d9,s10='d10,s11='d11,s12='d 12,s13='d13,s14='d14,s15='d15,s16='d16;always @(posedge clk50M)//把50M的时钟分频begin if (count==50000000 ) count<=0;else count<=count+1;endassign clk1hz=count[64];//得到1Hz的时钟信号always @(posedge clink or negedge reset)//四选一模块进行选择begin if (!reset) mode<=0;elseif (mode>3) mode<=0;elsemode<=mode+1;endalways@(*)case (mode)0:z=st0;1:z=st1;2:z=st2;3:z=st3;endcasealways @(posedge clk1hz)beginstate0=state0+1;endalways @(state0)//模式一begin case(state0)s0:st0<=16'b0000000000000000;s1:st0<=16'b1000000000000000;s2:st0<=16'b1100000000000000;s3:st0<=16'b1110000000000000;s4:st0<=16'b1111000000000000;s5:st0<=16'b1111100000000000;s6:st0<=16'b1111110000000000;s7:st0<=16'b1111111000000000;s8:st0<=16'b1111111100000000;s9:st0<=16'b1111111110000000;s10:st0<=16'b1111111111000000;s11:st0<=16'b1111111111100000;s12:st0<=16'b1111111111110000;s13:st0<=16'b1111111111111000;s14:st0<=16'b1111111111111100;s15:st0<=16'b1111111111111110;s16:st0<=16'b1111111111111111;default:st0<=16'b0000000000000000; endcaseendalways @(state1)//模式二begin case(state1)s0:st1<=16'b0000000000000000;s1:st1<=16'b0000000110000000;s2:st1<=16'b0000001111000000;s3:st1<=16'b0000011111100000;s4:st1<=16'b0000111111110000;s5:st1<=16'b0001111111111000;s6:st1<=16'b0011111111111100;s7:st1<=16'b0111111111111111;s8:st1<=16'b1111111111111111; default:st1<=16'b0000000000000000; endcaseendalways @(state2)//模式三begin case(state2)s0:st2<=16'b0000000000000000;s1:st2<=16'b1000000000000001;s2:st2<=16'b1100000000000011;s3:st2<=16'b1110000000000111;s4:st2<=16'b1111000000001111;s5:st2<=16'b1111100000011111;s6:st2<=16'b1111110000111111;s7:st2<=16'b1111111001111111;s8:st3<=16'b1111111111111111;default:st2<=16'b0000000000000000;endcaseendalways @(state3)//模式四begin case(state3)s0:st3<=16'b1000100010001000;s1:st3<=16'b0100010001000100;s2:st3<=16'b0010001000100010;s3:st3<=16'b0001000100010001;default:st3<=16'b0000000000000000;endcaseendendmodule四、编译和仿真波形图1: 跑马灯设计仿真图:五、仿真分析及结论由仿真波形图可以看出,16种状态需要按键频率为时钟频率的16分之1.复位从第一次按键下触发。

EDA跑马灯程序加仿真

EDA跑马灯程序加仿真

实验四跑马灯的设计一、实验目的1、熟悉同步时序电路的设计方法;2、学习跑马灯的设计原理和实践,并对其进行仿真和硬件测试。

二、实验原理试验箱上有16个连成一排的LED灯,它们受FPGA IO引脚的控制,通过这些引脚输出高低电平可以控制这16个灯的点亮或熄灭,控制这些灯以不同的方式点亮或熄灭即可获得跑马灯的显示效果。

三、实验内容:设计一个具有多种LED灯显示模式的电路,基本模式如下:1、先奇数灯,后偶数灯。

2、先偶数灯,后奇数灯。

3、LED灯依次点亮。

4、自己设计一种模式。

四、程序编写module paomadeng(CLK, RST, K1,K2,ledout);parameter M=16;input CLK, K1, K2, RST;output reg[M:1] ledout;reg[M:1] yw;always @(posedge CLK or negedge RST)if(!RST)beginledout=16'h0000;case ({K1, K2})2'b00:yw=16'h5555;2'b01:yw=16'haaaa;2'b10:yw=16'h0001;2'b11:yw=16'h8000;default:yw=16'h0001;endcaseendelsecase ({K1, K2})2'b00:beginledout=yw;yw=~yw;end2'b01:beginledout=yw;yw=~yw;end2'b10:beginledout=yw;if (ledout[M]==1) yw=16'h0001;else yw=yw<<1;end2'b11:beginledout=yw;if (ledout[1]==1) yw=16'h8000;else yw=yw>>1;enddefault:ledout=16'hffff;endcaseendmodule五、波形仿真限于纸面大小,将LED灯显示模式分开仿真:1.先奇数灯亮后偶数灯亮2.先偶数灯亮后奇数灯亮3.LED依次点亮(上为LED从低依次点亮)(LED从高依次点亮)。

跑马灯电路设计

跑马灯电路设计

跑马灯电路设计单片机嵌入式系统中的跑马灯就像C语言的―Hello World!‖程序一样,虽然简单,却是一个非常经典的例子。

对初学者来说,通过跑马灯系统设计的学习与编程,能很快熟悉单片机的操作方式,了解单片机系统的开发流程,并通过第一个实例增强自己学习单片机系统设计的信心。

下面详细讲解跑马灯电路的设计。

6.1.1 跑马灯跑马灯,顾名思义,就是―会像马儿一样跑动‖的小灯,故取名―跑马灯‖。

跑马灯在单片机系统中一般是用来指示和显示单片机的运行状态,一般情况下,单片机的跑马灯由8个LED发光二极管组成,可以方便地显示一个8位数据(0~255)。

在单片机运行时,可以在不同状态下让跑马灯显示不同的组合,作为单片机系统正常的指示。

当单片机系统出现故障时,可以利用跑马灯显示当前的故障码,对故障做出诊断。

此外,跑马灯在单片机的调试过程中也非常有用,可以在不同时候将需要的寄存器或关键变量的值显示在跑马灯上,提供需要的调试信息。

如图6-1所示为开发板上的跑马灯,由8个贴片LED发光二极管组成,下面详细介绍LED 发光二极管的知识与电路设计方法。

6.1.2 发光二极管基础知识发光二极管的英文名为Light Emitting Diode,简称LED,发明于20世纪60年代,几十年来,发光二极管在各种电路及嵌入式系统中得到了广泛的应用,跑马灯使用的―小灯‖就是8个并排的LED。

LED发光二极管将电能转变成光能,可由Ⅲ-V族半导体材料制成。

当工作在正向偏置状态时,LED发光二极管与普通的二极管极其相似,其同样具备单向导电特性,不同之处仅在于当加上正向偏置时,LED发光二极管将向外发光,此时能量通过PN结的载流子过程从电能转换为光能。

LED发光二极管具有亮度高、耗电小、体积小、重量轻、寿命长、可靠性高、价格便宜等优点,已经被广泛地应用到不同的产品中,作为电源指示灯、系统状态灯、信号灯等用途。

在通常工作状态下,LED发光二极管的使用寿命保守估计约为10万小时,部分甚至可以达到100万小时。

数字电路设计-跑马灯

数字电路设计-跑马灯

数字电路课程设计报告设计课题:跑马灯专业班级:12电信2班学生姓名:刘鹏学号:120802084指导教师:曾祥志设计时间:2016.4-2016.5目录1 设计要求 (2)1.1 设计规范 (2)2 设计方案 (2)2.1题目分析 (2)2.2 整体构思 (2)3 元件说明 (3)3.1 元件说明 (3)3.2电路原理图 (3)4 安装与调试 (6)5 心得体会........................... ............. (7)6参考文献......................................... .. (7)7附录 (8)1 设计任务与要求1.1 设计规范(1)、根据技术指标要求确定电路形式,分析工作原理,计算元件参数。

(2)、安装调试所设计的电路,使之达到设计要求。

(3)、记录实验结果。

(4)、撰写设计报告。

设计要求(1)实现10灯循环点亮。

(2) 红绿灯各5盏。

(3)实现红绿灯流水交替显示。

2设计方案2.1题目分析我们设计的跑马灯实际上是主要使用一个555芯片产生脉冲给到,CD4017芯片对10个LED进行控制,产生流水交替的效果。

形成跑马灯。

2.2 整体构思拟定系统方案框图,画出系统框图中每框的名称、信号的流向,各框图间的接口,使用电路设计软件画出原理图。

3. 元件说明3.1元件说明555功能:555 的功能主要由两个比较器决定。

两个比较器的输出电压控制RS 触发器和放电管的状态。

在电源与地之间加上电压,当5 脚悬空时,则电压比较器C1 的同相输入端的电压为2VCC /3,C2 的反相输入端的电压为VCC /3。

若触发输入端TR 的电压小于VCC /3,则比较器C2 的输出为0,可使RS 触发器置1,使输出端OUT=1。

如果阈值输入端TH 的电压大于2VCC/3,同时TR 端的电压大于VCC /3,则C1 的输出为0,C2 的输出为1,可将RS 触发器置0,使输出为低电平。

跑马灯的设计与仿真1(电子科大版)

跑马灯的设计与仿真1(电子科大版)

光电信息学院数字电路课程设计报告课程名称:数字设计原理与实践设计题目:跑马灯设计及仿真专业:光电工程与光通信学号:2905103032 2905402009姓名:生艳梅周高翔选课号:60 712011年06月08日光电学院数字电路课程设计任务名称:跑马灯的设计及仿真专业:光电工程与光通信姓名:生艳梅周高翔指导教师:周建华(1)课程设计应达到的目的、任务及要求:控制8 个LED 进行花式显示,设计4 种显示模式:S0,从左到右逐个点亮LED;S1,从右到左逐个点亮LED;S2,从两边到中间逐个点亮LED;S3,从中间到两边逐个点亮LED。

4 种模式循环切换,复位键(rst)控制系统的运行与停止。

跑马灯的状态转换图如图所示:(2)设计思路要达到跑马灯的灯依次亮的效果。

我们只需要将跑马灯的8 盏灯用8 位二进制数表示,1 代表灯亮,0 代表灯灭,然后设计若干个状态,让clk 在上升沿处带动状态的改变。

按照要求,初始状态是全灭,即00000000 然后由左往右依次亮,既:1000000 →11000000→11100000 →………依次类推。

(3)程序如下:module ledwalk(clk,rst,led);input clk,rst;output [7:0] led;reg [7:0] led;reg [24:0] count;reg [4:0] state;wire clk;always @ (posedge clk,negedge rst) beginif(!rst)count<=0;elsecount=count+1;endalways @ (posedge clk)begin :AAcase(state)5'b00000: led=8'b00000000;5'b00001: led=8'b10000000;5'b00010: led=8'b11000000;5'b00011: led=8'b11100000;5'b00100: led=8'b11110000;5'b00101: led=8'b11111000;5'b00110: led=8'b11111100;5'b00111: led=8'b11111110;5'b01000: led=8'b11111111;5'b01001: led=8'b00000000;5'b01010: led=8'b00000001; 5'b01011: led=8'b00000011; 5'b01100: led=8'b00000111; 5'b01101: led=8'b00001111; 5'b01110: led=8'b00011111; 5'b01111: led=8'b00111111; 5'b10000: led=8'b01111111; 5'b10001: led=8'b11111111; 5'b10010: led=8'b00000000; 5'b10011: led=8'b10000001; 5'b10100: led=8'b11000011; 5'b10101: led=8'b11100111; 5'b10110: led=8'b11111111; 5'b10111: led=8'b00000000; 5'b11000: led=8'b00011000; 5'b11001: led=8'b00111100; 5'b11010: led=8'b01111110; 5'b11011: led=8'b11111111; default: disable AA; endcaseendalways @ (posedge clk) beginstate=state+1;if(state==5'b11100) state=5'b00000;endendmodule(4)仿真结果:(5)收获、体会及改进想法等:通过本次设计,我们巩固了时序逻辑的理论知识,同时也掌握了QUARTUS II时序设计的基本方法和技巧,在这个过程中遇到很多困难,比如:总线、支线、数据分配、源信号丢失等编译错误,但最终通过查阅相关资料解决了这些问题。

跑马灯的设计doc

跑马灯的设计doc

西安欧亚学院设计报告设计名称:电子琴指导老师:张秀芳班级:统本电信1201 学号:12610109170081 姓名:邢哲跑马灯的设计1设计目的系统设计采用自顶向下的设计方法,使用简单的EDA程序实现跑马灯显示效果设计。

并且熟悉可编程逻辑器件的使用,通过制作来了解跑马灯控制系统。

2 设计的主要内容和要求2.1.实现8个红色LED的亮、灭、交错显示等,其显示如下(1)复位时,8个灯全灭;(2)从左到右第一个开始亮,依次亮到最后一个;(3)从右到左第一个开始亮,依次亮到最后一个;(4)从中间亮到两边;(5)从两边亮到中间;2.2.随着彩灯显示图案的变化,发出不同的音响声。

3 整体设计方案这次的跑马灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。

其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个32进制的计数器来控制,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的选择性的输出则是用一个4选一的选择器来控制。

图3.1跑马灯的输出原理方框图图3.2扬声器输出原理方框图4硬件电路的设计与软件设计4.1集成分频器模块1)设计要求显示不同的跑马灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。

模块说明:Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出。

Clk:输入信号模块的功能即为分频输入的频率信号。

Clk_4、clk_6、clk_8、clk_10:输出信号即为分频模块对输入信号clk的分频,分别为1/4分频输出、1/6分频输出、1/8分频输出、1/10分频输出。

图4.1集成分频器2)分频器VHDL程序编写及仿真结果LIBRARY ieee;USE ieee.std_logic_1164.all; -- IEEE库使用声明ENTITY fenpinqi IS -- 实体端口声明PORT(clk,rst : IN std_logic; -- 类型的输入端口clk_10,clk_4,clk_6,clk_8: OUT std_logic); -- 输出口END fenpinqi;ARCHITECTURE cd OF fenpinqi IS --结构体功能描述语句beginp1:process(clk,rst) --进程语句variable a:integer range 0 to 20;beginif rst='1' thenclk_4<='0'; ---复位信号控制部分elseif clk'event and clk='1'then --时钟信号clk,上升沿有效边沿if a>=3 then a:=0; --当a大于等于3时,则a等于0 clk_4<='1';elsea:=a+1; --否则a等于a加1clk_4<='0';end if;end if;end if;end process p1;p2:process(clk,rst)variable b:integer range 0 to 20;beginif rst='1' thenclk_6<='0'; ----- 复位信号控制部分elseif clk'event and clk='1'thenif b>=5 thenclk_6<='1';elseb:=b+1;clk_6<='0';end if;end if;end if;end process p2;p3:process(clk,rst)variable c:integer range 0 to 20;beginif rst='1' thenclk_8<='0'; ----- 复位信号控制部分elseif clk'event and clk='1'thenif c>=7 thenc:=0;clk_8<='1';elsec:=c+1;clk_8<='0';end if;end if;end if;end process p3; --关闭进程p4:process(clk,rst) --开启另外一个进程variable d:integer range 0 to 20; --定义d为变量beginclk_10<='0'; ----- 复位信号控制部分elseif clk'event and clk='1'thenif d>=9 thend:=0;clk_10<='1';elsed:=d+1;clk_10<='0';end if;end if;end if; end process p4; end cd;图4.2 集成分频器仿真波形4.2 32进制计数器模块1)32进制模块用来控制彩灯输出模块,即确定跑马灯控制器的不同的输出。

跑马灯的实训设计报告

跑马灯的实训设计报告

一、实训目的1. 熟悉单片机的硬件结构和基本工作原理;2. 掌握单片机编程方法,实现跑马灯功能;3. 提高实际操作能力和问题解决能力。

二、实训内容1. 跑马灯电路设计;2. 单片机编程实现跑马灯功能;3. 跑马灯功能测试与调试。

三、实训原理跑马灯是一种常见的电子玩具,主要由单片机、LED灯、按键等组成。

通过单片机控制LED灯的亮灭,实现跑马灯效果。

本实训采用AT89C51单片机作为核心控制单元,通过编程实现跑马灯功能。

四、实训步骤1. 跑马灯电路设计(1)硬件选型:选用AT89C51单片机作为核心控制单元,8个LED灯作为显示单元,2个按键作为控制单元。

(2)电路连接:将AT89C51单片机的P1口与LED灯的正极相连,LED灯的负极通过限流电阻连接到地。

将两个按键分别连接到单片机的P3.0和P3.1口。

2. 单片机编程实现跑马灯功能(1)初始化:设置P1口为输出端口,P3.0和P3.1口为输入端口。

(2)跑马灯程序编写:```c#include <reg51.h>#define LED P1void delay(unsigned int t) {unsigned int i, j;for (i = 0; i < t; i++)for (j = 0; j < 1275; j++); }void main() {unsigned char i = 0;while (1) {LED = 0x01; // 点亮LED1delay(500);LED = 0x02; // 点亮LED2delay(500);LED = 0x04; // 点亮LED3delay(500);LED = 0x08; // 点亮LED4delay(500);LED = 0x10; // 点亮LED5delay(500);LED = 0x20; // 点亮LED6delay(500);LED = 0x40; // 点亮LED7delay(500);LED = 0x80; // 点亮LED8delay(500);for (i = 0; i < 8; i++) {LED = ~(0x01 << i); // 倒序点亮LEDdelay(500);}}}```3. 跑马灯功能测试与调试(1)测试:将编写好的程序烧录到AT89C51单片机中,观察LED灯的跑马灯效果。

微机基础原理(根据PROTEUS的跑马灯系统设计及仿真)

微机基础原理(根据PROTEUS的跑马灯系统设计及仿真)

学号:课程设计题目基于PROTEUS的跑马灯系统设计及仿真学院自动化学院专业自动化班级姓名指导教师2012 年 1 月12 日任务书目录引言 (1)1总体方案论证 (2)1.1功能分析 (2)1.2系统连接图设计 (2)1.2.1锁存控制电路 (5)1.2.2可编程并行通信接口芯片8255A (6)2程序流程图设计及其说明 (9)3关键程序段落说明 (11)3.1数据段定义 (11)3.2程序初始化 (11)3.3芯片初始化 (12)3.4初始LED亮灭状态 (12)3.5检测按键开关子程序 (12)3.6延时程序片段 (14)3.7灯光变换控制 (15)4程序调试说明 (16)5结果记录及分析 (17)心得体会 (19)参考文献..................................................................... 错误!未定义书签。

引言微型计算机简称微机,由于具备人脑某些功能,所有又叫做微机。

是由大规模集成电路组成的、体积较小的电子计算机。

它是以微处理器为基础,配以内存储器及输入输出接口电路和相应的辅助电路构成的裸机。

把微型计算机集成在一个芯片上即构成单片微型计算机。

学习微机原理与接口技术,主要内容包括微型计算机体系结构、8086微处理器和指令系统、汇编语言、设计以及微型计算机各个组成部分,而其中很大一块就是汇编语言的学习。

汇编语言是面向机器的程序设计语言,也是利用计算机所有硬件特性并能直接控制硬件的语言。

用汇编语言编写的程序由于目标程序占用内存少,运行速度快,它有着高级语言不可替代的用途。

因此,学习汇编语言是很必要的,通过学习汇编语言可以体会它的作用。

通过本次课程设计让我们进一步深入汇编语言的学习,掌握简单的接口设计技术,将理论知识联系实际,进一步学习微机原理与接口技术的相关知识,为以后深入学习打下良好的基础。

1总体方案论证1.1功能分析此次课程设计的要求为,设计微型计算机最小系统,实现跑马灯的模拟显示功能。

跑马灯设计 电子课程设计

跑马灯设计   电子课程设计

电子课程设计题目:时钟和跑马灯电路
学院:
专业:
学号:
姓名:
指导:
成绩:
一、实习目的
1、了解时钟电路的工作原理。

2、熟悉电路中主要元器件555集成块的作用及特性。

3、了解跑马灯电路的工作原理。

4、熟悉电路中主要电子元器件集成块4017、74HC240的作用
和特性。

5、掌握利用三极管开关特性构成反相器的原理。

6、掌握焊接工艺的基本技能。

二、原件清单
三、电路原理
1.由555时钟电路产生的方波信号,输入到10位计数——分频器(CD4017),分频计数器产生输出信号经过74HC240驱动后输出到发光二极管D10~D19上,D10~D19循环一次点亮。

2.由于74HC240只能对8位二进制数进行反相,所以电路中加入了两个三极管非门。

3.电路图如(1-1)
四、实习成果展示
五、注意事项
1.注意集成块、二极管,三极管的插装位置,电阻阻值的识别和各元件的正负极。

注意线的连接位置要正确,且精简。

往往好的排线方式可以大大的简化电路板,使其更美观!
2.整个电路焊接完成后便可插入集成块进行测试,焊接时应谨慎小心,由于此电路焊点多且密,注意不要出现相临焊点连在一起,不要出现虚焊。

六、小结
这个设计实习非常有意义,让我学会了识别和使用各种电子元件,培养了我对电子技术的兴趣。

初步接触了电路板,使我懂得其设计要点和工作原理。

通过动手实践我发现了一些不足并且通过自己的努力解决了问题,这让我很高兴,对以后的学习大有裨益!。

利用uCOS任务实现跑马灯功能

利用uCOS任务实现跑马灯功能

Windows CE流接口设备驱动程序 模型
电子科技大学嵌入式软件工程中心
Linux字符型设备驱动程序模型
• 应用程序通过设备文件使用设备驱动程序 的能力,字符设备是一个能够像字节流, 类似文件一样被访问的设备
电子科技大学嵌入式软件工程中心
uC/OS下的硬件操作
• uC/OS没有区分用户态和核心态 • uC/OS没有虚拟地址映射 • uC/OS下应用程序可以直接访问SOC的寄存 器
电子科技大学嵌入式软件工程中心
W90P710的I/O口
• W90P710芯片上共有71个多功能引脚,它们被分为 7个组,以方便管理: • PORT0:5个I/O引脚GPIO[4:0] • PORT1:10个I/O引脚 GPIO[29:20] • PORT2:10个I/O引脚GPIO[51:42] • PORT3:8个I/O引脚GPIO[67:60] • PORT4:11个I/O引脚 GPIO[59:52],GPIO[70:68] • PORT5:15个I/O引脚 GPIO[19:5] • PORT6:12个I/O引脚 GPIO[41:30]
利用uC/OS任务实现跑马灯功能
• 实验目的 • 实验设备 • 实验原理 • 实验内容
电子科技大学嵌入式软件工程中心
利用uC/OS任务实现跑马灯功能
• 实验目的
– 了解W90P710学习板的系统组成 – 了解在主流嵌入式操作系统中应用程序如何 访问硬件 – 掌握W90P710学习板LED工作原理 – 熟悉ARM芯片I/O口编程配置方法 – 通过实验掌握ARM芯片I/O口控制发光二极 管显示的方法
电子科技大学嵌入式软件工程中心
实验原理
表 1-5 31:24 Reserved 23:16 Reserved 15:11 Reserved 7:0 DATAOUT [7:0] DATAOUT [10:8]分别对应GPIO[70:68],DATAOUT [7:0]对 应GPIO[59:52]。

电子科技大学电子技术应用实验Multisim交通灯终极版

电子科技大学电子技术应用实验Multisim交通灯终极版

电子科技大学电子技术应用实验实验报告题目名称学号姓名指导老师电子技术应用实验实验报告(九)一、实验项目名称交通控制灯实验内容:设计并实现一个十字路口的交通控制灯电路。

具体要求为:以4个红色指示灯、4个绿色指示灯和4个黄色指示灯模拟路口的东、南、西、北4个方向的红、绿、黄交通灯。

控制这些指示灯,使他们按下列规律亮和灭:1.东西方向绿灯亮,南北方向红灯亮,东西方向通车,时间30s;2.东西方向黄灯闪烁,南北方向红灯亮,时间2s;3.南北方向绿灯亮,东西方向红灯亮,南北方向通车,时间30s;4.南北方向黄灯闪烁,东西方向红灯亮,时间2s;5.返回1,继续运行。

实验要求:设计满足要求的电路,并在Multisim中进行电路连接、仿真和调试。

在实验报告中简要的说明实验原理,画出实验电路图,在实验报告相应位置上附上实验中的仿真结果和波形。

二、实验时间计划表三、方案论证方案一:基于集成器件的交通灯利用集成芯片产生时钟信号,用计数器芯片及常用门电路构建交通灯状态机电路。

方案二:基于单片机的交通灯利用单片机作为控制系统,通过编程实现对交通灯时序的控制。

综合以上几种方案,方案一电路的设计简单,易于实行。

单片机方案实行困难,在实际设计中容易出错而且不好仿真。

方案一电路使用Multisim仿真既简单,又和本学科实验有很大的关系,是一种利用软件设计硬件的新方法,简单易行,且易于修改调试,所以本项目选择方案一。

四、电路原理简介交通灯系统框图由脉冲发生器、定时器、控制器、译码器和信号灯组成。

其中:脉冲发生器提供时钟脉冲信号,定时器则分为30s定时器和2s定时器,且在计数满时向控制器分别发送计时信号X、Y。

当控制器收到X或Y信号时,控制器先产生状态信号S并传入定时器使其重置,然后控制译码器通过X或Y信号改变信号灯的颜色开关。

信号皆为高电平有效。

由题意可知有四个状态,分别设为:S0:东西方向绿灯亮,南北方向红灯亮。

东西方向通车。

S1:东西方向黄灯闪烁,南北方向红灯亮。

(完整word版)跑马灯VHDL

(完整word版)跑马灯VHDL

一、设计任务控制8个led进行花式显示,设计四种显示模式:1.从左到右逐个点亮led;2.从右到左逐个点亮led;3.从两边到中间逐个点亮led;4.从中间到两边逐个点亮led;四种模式循环切换,由复位键rst控制系统的运行与停止.二、设计过程根据系统设计要求,采用状态机进行设计,状态机具有四种状态,每种状态完成一种显示模式四种状态间使用case语句进行切换.程序如下:library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_1164.all;entity pmd isport( clk, rst: in std_logic;y: buffer std_logic_vector(7 downto 0));end pmd;architecture behave of pmd istype states is (state0, state1, state2, state3);signal state: states;beginprocess (clk, rst)beginif rst='1' theny<="00000000" ; state <= state0;elsif (clk'event and clk='1') thencase state iswhen state0 =>if y="00000000" then y<="10000000";state <= state0;elsif y="10000000" then y<="01000000";state <= state0;elsif y="01000000" then y<="00100000";state <= state0;elsif y="00100000" then y<="00010000";state <= state0;elsif y="00010000" then y<="00001000";state <= state0;elsif y="00001000" then y<="00000100";state <= state0;elsif y="00000100" then y<="00000010";state <= state0;elsif y="00000010" then y<="00000001";state <= state1;end if;when state1 =>if y="00000001" then y<="00000010";state <= state1;elsif y="00000010" then y<="00000100";state <= state1;elsif y="00000100" then y<="00001000";state <= state1;elsif y="00001000" then y<="00010000";state <= state1;elsif y="00010000" then y<="00100000";state <= state1;elsif y="00100000" then y<="01000000";state <= state1;elsif y="01000000" then y<="10000000";state <= state2;end if;when state2=>if y="10000000" then y<="10000001";state <= state2;elsif y="10000001" then y<="01000010";state <= state2;elsif y="01000010" then y<="00100100";state <= state2;elsif y="00100100" then y<="00011000";state <= state3;end if;when state3=>if y="00011000" then y<="00100100";state <= state3;elsif y="00100100" then y<="01000010";state <= state3;elsif y="01000010" then y<="10000001";state <= state3;elsif y="10000001" then y<="00000000";state <= state0;end if;end case;end if;end process;end behave;对程序进行编译波形仿真如下:配置设备下载到实验箱上仿真.四、总结本次实验是功能模块电路的设计,我选作的是跑马灯设计。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

光电信息学院
数字电路课程设计报告
课程名称:数字设计原理与实践
设计题目:跑马灯设计及仿真
专业:光电工程与光通信
学号:2905103032 2905402009
姓名:生艳梅周高翔
选课号:60 71
2011年06月08日
光电学院数字电路课程设计
任务名称:跑马灯的设计及仿真
专业:光电工程与光通信姓名:生艳梅周高翔
指导教师:周建华
(1)课程设计应达到的目的、任务及要求:
控制8 个LED 进行花式显示,设计4 种显示模式:S0,从左到右逐个点亮LED;S1,从右到左逐个点亮LED;S2,从两边到中间逐个点亮LED;S3,从中间到两边逐个点亮LED。

4 种模式循环切换,复位键(rst)控制系统的运行与停止。

跑马灯的状态转换图如图所示:
(2)设计思路
要达到跑马灯的灯依次亮的效果。

我们只需要将跑马灯的8 盏灯用8 位二进制数表示,1 代表灯亮,0 代表灯灭,然后设计若干个状态,让clk 在上升沿处带动状态的改变。

按照要求,初始状态是全灭,即00000000 然后由左往右依次亮,既:1000000 →11000000→11100000 →………依次类推。

(3)程序如下:
module ledwalk(clk,rst,led);
input clk,rst;
output [7:0] led;
reg [7:0] led;
reg [24:0] count;
reg [4:0] state;
wire clk;
always @ (posedge clk,negedge rst) begin
if(!rst)
count<=0;
else
count=count+1;
end
always @ (posedge clk)
begin :AA
case(state)
5'b00000: led=8'b00000000;
5'b00001: led=8'b10000000;
5'b00010: led=8'b11000000;
5'b00011: led=8'b11100000;
5'b00100: led=8'b11110000;
5'b00101: led=8'b11111000;
5'b00110: led=8'b11111100;
5'b00111: led=8'b11111110;
5'b01000: led=8'b11111111;
5'b01001: led=8'b00000000;
5'b01010: led=8'b00000001; 5'b01011: led=8'b00000011; 5'b01100: led=8'b00000111; 5'b01101: led=8'b00001111; 5'b01110: led=8'b00011111; 5'b01111: led=8'b00111111; 5'b10000: led=8'b01111111; 5'b10001: led=8'b11111111; 5'b10010: led=8'b00000000; 5'b10011: led=8'b10000001; 5'b10100: led=8'b11000011; 5'b10101: led=8'b11100111; 5'b10110: led=8'b11111111; 5'b10111: led=8'b00000000; 5'b11000: led=8'b00011000; 5'b11001: led=8'b00111100; 5'b11010: led=8'b01111110; 5'b11011: led=8'b11111111; default: disable AA; endcase
end
always @ (posedge clk) begin
state=state+1;
if(state==5'b11100) state=5'b00000;
end
endmodule
(4)仿真结果:
(5)收获、体会及改进想法等:
通过本次设计,我们巩固了时序逻辑的理论知识,同时也掌握了QUARTUS II时序设计的基本方法和技巧,在这个过程中遇到很多困难,比如:总线、支线、数据分配、源信号丢失等编译错误,但最终通过查阅相关资料解决了这些问题。

与上次课程设计不同的是,这次课程设计的题目是时序逻辑,难度较大,我们通过组队的方式,合理分配了任务,减小了每个人的工作量,节约了不少时间。

这次设计过程,也使我们深刻地认识到,任何一个实际的问题都不是简单的问题,跑马灯在生活中随处可见,但它的设计对于初学者来讲还是有一定难度的。

因此,在今后的学习中,我们要更加刻苦,学习理论知识的同时积极实践,解决更多的实际问题。

主要参考文献
数字设计原理与实践(原书第四版)(美)John F.Wakerly。

相关文档
最新文档