南昌航空大学PWM波形发生器实验报告

合集下载

波形发生器设计实验报告

波形发生器设计实验报告

波形发生器设计实验报告一、实验目的(1)熟悉555型集成时基电路结构、工作原理及其特点。

(2)掌握555型集成时基电路的基本应用。

(3)掌握由555集成型时基电路组成的占空比可调的方波信号发生器。

二、实验基本原理555电路的工作原理555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。

但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。

此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。

由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较复杂,是模拟电路和数字电路的混合体。

555芯片管脚介绍555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。

其中6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。

用555定时器组成的多谐振荡器如图所示。

接通电源后,电容C2被充电,当电容C2上端电压Vc升到2Vcc/3时使555第3脚V0为低电平,同时555内放电三极管T导通,此时电容C2通过R1放电,Vc下降。

当Vc下降到Vcc/3时,V0翻转为高电平。

电容器C2放电所需的时间为t,R1,C,ln2pL2 ( 1-1)当放电结束时,T截止,Vcc将通过R1,R2,R3向电容器C2充电,Vc由Vcc/3 上升到2Vcc/3所需的时间为t,(R1,R2,R3)Cln2,0.7(R1,R2,R3)CpH22 (1-2)当Vc上升到2Vcc/3时,电路又翻转为低电平。

PWM波形产生实验

PWM波形产生实验

PWM波形产⽣实验《DSP原理与应⽤技术》课程实验报告学⽣姓名:所在班级:指导教师:记分及评价:项⽬满分100分得分⼀、实验名称PWM波形产⽣实验⼆、实验⽬的1.了解TMS320F281x芯⽚的EV A、EVB的功能;2.理解EV A、EVB的⼯作原理;3.掌握EV A、EVB产⽣PWM波的⽅法;三、实验设备计算机,CCS3.1版本软件,DSP仿真器,E300实验箱,⽰波器。

四、实验原理TI公司C2000系列的DSP器件包括两个事件管理模块EV A和EVB,每个事件管理器模块包括通⽤定时器、⽐较器、捕获单元以及正交编码脉冲电路。

EV A和EVB的定时器,⽐较单元以及捕获单元的功能都相同,只是定时器和单元的名称不同。

对于TMS320F2812 DSP每个事件管理模块可同时产⽣多达8路的PWM波形输出。

由3个带可编程死区控制的⽐较单元产⽣独⽴的3对,以及由GP定时器⽐较产⽣的2个独⽴的PWM输出。

五、实验步骤1.F2812CPU板的JUMP1的1和2脚短接,拨码开关SW1的第⼆位置ON;2.E300板的开关SW4的第⼆位置ON,其余位置OFF;其余开关设置为OFF。

3.运⾏CCS软件,调⼊样例程序,装载并运⾏;4.打开系统项⽬⽂件\e300.test\normal\DSP281x_examples\e e300_11_pwm\Example_281x_pwm.pjt5.双击“Example_281x_pwm.pjt”及“Source”可查看各源程序;并加载“Example_281x_pwm.out”;6.单击“Debug\RUN”运⾏,然后⽤⽰波器观察F2407CPU板PWM1~6的输出波形。

7.修改EvaRegs.cmpr1、EvaRegs.cmpr2、EvaRegs.cmpr3的值可改变相应输出的占空⽐。

改变EvaRegs.T1PR的值,可以提⾼PWM波频率,占空⽐不变。

8.关闭“Example_281x_pwm.pjt”⼯程⽂件;关闭所有窗⼝,本实验完毕。

波形发生器实验报告(1)

波形发生器实验报告(1)

波形发生器实验报告(1)波形发生器实验报告一、实验目的本实验的目的是通过使用示波器和电子电路来调制和产生不同的波形。

二、实验仪器与器材示波器、经过校准的函数发生器、万用表。

三、实验原理函数发生器是一种电子电路,可以产生不同类型的波形,例如正弦波、方波、三角波等。

为了实现这些波形,函数发生器中需要使用不同的电路元件。

例如,产生正弦波需要使用振荡电路,而产生方波需要使用比较器电路。

函数发生器的输出信号通过示波器来显示和测量。

四、实验步骤1.连接电路:将电源线连接到函数发生器和示波器上。

2.打开电源:按照设备说明书的步骤打开函数发生器和示波器的电源。

3.调节函数发生器:使用函数发生器的控制按钮来选择所需的波形类型,并调节频率和振幅。

使用示波器来观察和测量所产生的波形。

4.调节示波器:使用示波器的控制按钮来调整波形的亮度、对比度、扫描速度等参数,以达到最佳观测效果。

5.记录实验结果:记录所产生的不同波形类型、频率和振幅,并观察和记录示波器的显示结果。

五、实验结果通过本实验,我们成功地产生了正弦波、方波和三角波等不同的波形,并观察了这些波形的频率和振幅。

示波器的显示结果非常清晰,可以直观地观察到波形的特征和参数。

我们还对示波器的参数进行了调整,以获得最佳的观测效果。

六、实验结论本实验通过使用示波器和函数发生器,成功地产生了不同类型的波形,并观察了波形的特征和参数。

这些波形可以应用于各种电子电路实验中,并且需要根据具体应用要求进行调整和优化。

示波器是一种非常重要的测试仪器,可以直接观察和测量电路中的波形和信号特性,因此应用广泛。

波形发生器实验报告 模电波形发生器实验报告

波形发生器实验报告 模电波形发生器实验报告

波形发生器实验报告模电波形发生器实验报告精品文档,仅供参考波形发生器实验报告模电波形发生器实验报告实验报告是把实验的目的、方法、过程、结果等记录下来,经过整理,写成的书面汇报。

应用写作给出的定义如下科技实验报告是描述、记录某个科研课题过程和结果的一种科技应用文体。

下面是本站为大家带来的[波形发生器实验报告],希望能帮助到大家!波形发生器实验报告第一部分设计内容一、任务利用运算放大器设计并制作一台信号发生器,能产生正弦波、方波、三角波、锯齿波等信号,其系统框图如图所示。

二、要求1不使用单片机,实现以下功能:(1)至少能产生正弦波、方波、三角波、锯齿波四种周期性波形;在示波器上可以清晰地看清楚每种波形。

20分(2)输出信号的频率可通过按钮调节;(范围越大越好)20分(3)输出信号的幅度可通过按钮调节;(范围越大越好)20分(4)输出信号波形无明显失真;10分(5)稳压电源自制。

10分(6)其他2种扩展功能。

20分信号发生器系统框图第二部分方案比较与论证方案一、以555芯片为核心,分别产生方波,三角波,锯齿波,正弦波电路配置如图1所示图1此方案较简单,但是产生的频率不够大最后输出正弦波时,信号受干扰大。

方案二由简单的分立元件产生,可以利用晶体管、LC振荡回路,积分电路的实现方波三角波,正弦波的产生。

此方案原理简单但是调试复杂,受干扰也严重。

方案三、采用集成运放如(LM324)搭建RC文氏正弦振荡器产生正弦波,正弦波的频率,幅度均可调,再将产生的正弦波经过过零比较器,实现方波的输出,再由方波到三角波和锯齿波。

此方案电路简单,在集成运放的作用下,可以较容易的测到所需的波形。

通过调整参数可以得到较完美的波形。

实际设计过程采用方案三,基本原理如图2所示基本设计原理框图(图2)第三部分:电路原理及电路设计电路的构成:1、正弦波采用RC桥式振荡器(如图3), RC 串并联网络是正反馈网络,Rf 和R1为负反馈网络。

波形发生器实验报告

波形发生器实验报告

波形发生器实验报告波形发生器实验报告引言波形发生器是电子实验室中常见的仪器之一,它能够产生不同形状和频率的电信号。

本实验旨在通过搭建和调试波形发生器电路,了解波形发生器的工作原理和应用。

实验目的1. 掌握波形发生器的基本原理和电路结构;2. 学会使用电子元器件和仪器搭建波形发生器电路;3. 调试波形发生器电路,产生不同形状和频率的波形信号。

实验器材与元器件1. 函数发生器2. 示波器3. 电阻、电容、电感等元器件4. 电源5. 连接线实验步骤1. 搭建基本的RC波形发生器电路。

将电阻和电容按照一定的连接方式搭建成RC电路,连接至电源和示波器。

2. 调节电源和示波器的参数。

根据实验要求,设置电源的电压和示波器的时间和电压刻度。

3. 调试波形发生器电路。

通过改变电阻和电容的数值,观察波形发生器输出的波形变化。

记录不同参数下的波形特点。

4. 搭建其他类型的波形发生器电路。

根据实验要求,搭建其他类型的波形发生器电路,如正弦波发生器、方波发生器等。

5. 调试其他类型的波形发生器电路。

通过改变电阻、电容或其他元器件的数值,观察不同类型波形发生器输出的波形特点。

实验结果与分析在实验过程中,我们成功搭建了基本的RC波形发生器电路,并调试出了不同频率和形状的波形信号。

通过改变电阻和电容的数值,我们观察到波形的周期和振幅发生了变化。

当电阻和电容的数值较小时,波形的频率较高;而当电阻和电容的数值较大时,波形的频率较低。

此外,我们还搭建了正弦波发生器和方波发生器电路,并成功调试出了相应的波形信号。

实验总结通过本次实验,我们深入了解了波形发生器的工作原理和应用。

波形发生器作为一种常见的仪器,广泛应用于电子实验、通信、音频等领域。

通过调节电路中的元器件数值,我们可以产生不同形状和频率的波形信号,满足不同实验和应用的需求。

然而,本实验中我们只涉及了基本的RC波形发生器电路和部分常见的波形类型。

在实际应用中,波形发生器还有更多的类型和功能,如脉冲波形发生器、锯齿波形发生器等。

信号发生器实验报告(波形发生器实验报告)

信号发生器实验报告(波形发生器实验报告)

图1
图2
电路的振荡频率为:
f0

1 2RC
将电阻 12k,62k 及电容 100n,22n,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz,
116.7Hz~603.2Hz,583.7Hz~3015Hz。因为低档的最高频率高于高档的最低频率,所以符合
实验中频率连续可调的要求。
RP2 R4 R13 组成负反馈支路,作为稳幅环节。R13 与 D1、D2 并联,实现振荡幅度的自 动稳定。D1、D2 采用 1N4001 二极管。
芯片引脚和工作说明: 1 和 5 为偏置(调零端), 2 为正向输入端, 3 为反向输入端, 4 接地, 6 为输出, 7 接电源 8 空脚
内部结构图:
十、收获和体会:
通过本次实验充分认识到思考问题的重要次实验,从设计电路到焊接以及到最后调试都是慢 慢摸索,认真思考,团结合作,学到了很多知识与经验。
四、设计思路
基本功能:首先采用 RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器) 将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后 通过切换开关可以同时输出三种信号。
五、具体电路设计方案
Ⅰ、RC 桥式正弦波振荡器
如左图 1 所示,正弦波振荡器采用 RC 桥式振荡器产 生频率可调的正弦信号。J1a、J1b、J2a、J2b 为频率粗调, 通过 J1 J2 切换三组电容,改变频率倍率。RP1 采用双联线 性电位器 50k,便于频率细调,可获得所需要的输出频率。 RP2 采用 200k 的电位器,调整 RP2 可改变电路 Af 大小, 使得电路满足自激振荡条件,另外也可改变正弦波失真 度,同时使正弦波趋于稳定。下图 2 为起振波形。

波形发生器专业课程设计实验报告

波形发生器专业课程设计实验报告

波形发生器专业课程设计实验报告方法1:选通输入/输出方法。

这时A口或B口8位外设线用作输入或输出,C口4条线中三条用作数据传输联络信号和中止请求信号。

方法2:双向总线方法。

只有A口含有双向总线方法,8位外设线用作输入或输出,此时C口5条线用作通讯联络信号和中止请求信号。

原理框图:硬件设计2.2 数模转换电路因为单片机产生是数字信号,要想得到所需要波形,就要把数字信号转换成模拟信号,所以该文选择价格低廉、接口简单、转换控制轻易并含有8位分辨率数模转换器DAC0832。

DAC0832关键由8位输入寄存器、8位DAC寄存器、8位D/A转换器和输入控制电路四部分组成。

但实际上,DAC0832输出电量也不是真正能连续可调,而是以其绝对分辨率为单位增减,是准模拟量输出。

DAC0832是电流型输出,在应用时外接运放使之成为电压型输出。

1、DAC0832引脚及功效:DAC0832是8分辨率D/A转换集成芯片。

和微处理器兼容。

这个DA芯片以其价格低廉、接口简单、转换控制轻易等优点,在单片机应用系统中得到广泛应用。

D/A转换器由8位输入锁存器、8位DAC寄存器、8位D/A转换电路及转换控制电路组成。

各引脚功效说明:D0~D7:8位数据输入线,TTL电平,有效时间应大于90ns(不然锁存器数据会犯错);ILE:数据锁存许可控制信号输入线,高电平有效;CS:片选信号输入线(选通数据锁存器),低电平有效;WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。

由ILE、CS、WR1逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1负跳变时将输入数据锁存;_FER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效;WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。

由WR2、_FER逻辑组合产生LE2,当LE2为高电平时,DAC寄存器输出随寄存器输入而改变,LE2负跳变时将数据锁存器内容打入DAC寄存器并开始D/A转换。

南昌航空大学PWM波形发生器实验报告

南昌航空大学PWM波形发生器实验报告

PWM波信号发生器的研制课程设计说明书课程设计名称:单片机专业课设课程设计题目: PWM信号发生器的研制学院名称:信息工程学院专业:电子信息工程班级:学号:姓名:评分:教师:20 13 年 7 月 2 日摘要1PWM波信号发生器的研制随着电力电子全控开关器件的出现,脉宽调制技术(PWM)在电力电子变流技术中获得了,。

泛的应Hj,如直流开关电源(DC/DC变换)、交。

交变频(AC/AC变换)、交.直.交变频系统、UPS电源(DC/AC变换)、高功率因数整流(PWM整流或功率l灭l数校正PFC等)等电力电子各个应用领域,无不采用PWM控制技术。

PWM控制最基本的实现方法是通过载波和控制波的模拟电路调制米产生,如采用三角形载波(或锯齿波)和直流(或正弦波)控制信号比较器进行比较产生PWM波。

这种方法在模拟控制技术中广泛采用,并出现过许多产生PWM波控制芯片,如用于开关电源的TL494、SG3525、UC3842等。

随着数字控制技术的发展,又出现了许多数字式PWM集成芯片,如用于变频调速的三相PWM发生器HEF4752、SLE4520、MA818等。

但与迅速发展的微机控制技术相比,该方法显示出电路复杂、调制方式不够灵活等缺点,而采用软件计算的实时PWM控制策略越来越彼人们接受,并出现了许多不同的PWM波的计算方法,如采样SPWM法、谐波注入PWM法、均值PWM法、等面积PWM法等。

电力电子的微机PWM控制技术已成为一种必然趋势。

因此本文就PWM的单片机控制与实现进行分析研究,通过对外围电路芯片的设计实现PWM输出波形的频率、电压幅值、占空比的连续调节,达到产生PWM信号目的。

关键字:脉宽调制技术(PWM)、占空比、控制信号2PWM波信号发生器的研制单片机原理与接口技术课程设计任务书20 12 -20 13 学年第 2 学期第 17 周- 19 周注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

单片机课设,波形发生器实验报告

单片机课设,波形发生器实验报告

单片机课程设计波形发生器实验报告姓名:*** 07291198电气0706指导老师:***摘要:波形发生器是一种常用的信号源,广泛的应用于电子电路、自动控制系统和教学实验等领域。

本次课程设计基于89S51单片机构成的,利用PWM波技术制作可产生方波、三角波、梯形波、锯齿波、正弦波等多种波形。

设计要求:基于89S52单片机,利用单片机产生PWM波产生要求波形。

要求波形发生器能够产生4种以上波形,如方波、三角波、梯形波、锯齿波、正弦波方波。

能够调整输出波形的频率、幅值、水平分量。

一,技术基础利用PWM技术设计波形发生器脉宽调制(PWM:(Pulse Width Modulation)是利用Array微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。

简而言之,PWM是一种对模拟信号电平进行数字编码的方法。

PWM的一个优点是从处理器到被控系统信号都是数字形式的,无需进行数模转换。

让信号保持为数字形式可将噪声影响降到最小。

噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,也才能对数字信号产生影响。

下面就PWM技术实现波形发生器的原理方法进行简单阐述。

采样控制理论中有一个重要结论:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同.PWM控制技术就是以该结论为理论基础,对半导体开关器件的导通和关断进行控制,使输出端得到一系列幅值相等而宽度不相等的脉冲,用这些脉冲来代替正弦波或其他所需要的波形.按一定的规则对各脉冲的宽度进行调制,既可改变逆变电路输出电压的大小,也可改变输出频率。

二,系统原理框图三,硬件电路图四,程序流程图1软件部分由以下几个部分构成:系统主程序流程图波形子程序流程图五,参数调整程序的编程思路:a 频率的调节应用PWM技术时,要调节输出波形的频率,只需要在生成前一个具体模拟信号的电平和生成下一个之间加上一个给定的延时,这样输出波形的频率就会发生变化。

波形发生器的设计实验报告

波形发生器的设计实验报告

波形发生器的设计实验报告波形发生器是一种用于产生各种波形信号的仪器或设备。

它常常被用于电子实验、通信系统测试、音频设备校准等领域。

本文将介绍波形发生器的设计实验,并探讨其原理和应用。

波形发生器的设计实验主要包括以下几个方面:电路设计、元件选择、参数调整和信号输出。

首先,我们需要设计一个合适的电路来产生所需的波形。

常见的波形包括正弦波、方波、三角波等。

根据不同的波形要求,我们可以选择适当的电路结构和元件组成。

例如,正弦波可以通过RC电路或LC电路实现,方波可以通过比较器电路和计数器电路实现,三角波可以通过积分电路实现。

在元件选择方面,我们需要根据设计要求来选择合适的电阻、电容、电感等元件。

这些元件的数值和质量对波形发生器的性能和稳定性起着重要的影响。

因此,我们需要仔细考虑每个元件的参数,并选择合适的品牌和型号。

参数调整是波形发生器设计实验中的关键步骤之一。

我们需要根据设计要求来调整电路中各个元件的数值和工作状态,以确保所产生的波形符合要求。

参数调整需要依靠实验数据和仪器测量结果来进行,同时也需要运用一定的电路分析和计算方法。

信号输出是波形发生器设计实验的最终目标。

在设计过程中,我们需要确保所产生的波形信号能够正确输出,并具有稳定性和准确性。

为了实现这一目标,我们可以使用示波器等仪器来对输出信号进行检测和分析,并根据需要进行调整和优化。

波形发生器具有广泛的应用领域。

在电子实验中,波形发生器常常被用于产生各种测试信号,用于测试和验证电路的性能和功能。

在通信系统测试中,波形发生器可以产生各种模拟信号,用于测试和校准通信设备。

在音频设备校准中,波形发生器可以产生各种音频信号,用于校准音频设备的频率响应和失真特性。

波形发生器的设计实验是一个涉及电路设计、元件选择、参数调整和信号输出的复杂过程。

在实验中,我们需要仔细考虑每个步骤的要求,并根据实际情况进行调整和优化。

通过合理的设计和实验验证,我们可以获得稳定、准确的波形信号,满足各种应用需求。

pwm信号发生器.实验报告

pwm信号发生器.实验报告

EDA实验报告学院:电气学院班级:电科1班学号:12401720126姓名:刘明煌实验三PWM信号发生器的设计1.实验目的(1)进一步熟悉掌握Quartus H。

(2)进一步熟悉和掌握GW48-CK或其他EDA实验开发系统的应用。

(3)学习和掌握VHDL进程语句和元件例化语句的使用。

2.实验内容设计并调试好PWM信号发生器电路PWM.VHD,并用GW48-CK或其他EDA实验开发系统进行硬件验证。

3.实验条件(1)开发软件:Quartus H。

(2)实验设备:GW48-CK EDA实验开发系统。

(3)拟用芯片:EP2C8Q208C8N。

4.实验设计1) 系统原理框图为了简化设计并便于显示,本信号发生器电路PWM的设计分为两个层次,其底层电路可,再由包括两个完全相同的加载加法计数器LCNT8而成。

PWM电路图2) VHDL程序PWM信号发生器的底层和顶层电路均采用VHDL文本输入,有关VHDL程序如下。

加载加法计数器LCNT8的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LCNT8 ISPORT(CLK ,L D:IN STD_LOGIC;D:IN INTEGER RANGE 0 TO 255;CAO:OUT STD_LOGIC);END ENTITY LCNT8;ARCHITECTURE ART OF LCNT8 ISSIGNAL COUNT:INTEGER RANGE 0 TO 255;BEGINIF CLKEVENT AND CLK=1THENIF LD=1THEN COUNT<=D;ELSE C0UNT<=C0UNT+1;END IF;END IF;END PROCESS;PROCESS(COUNT) ISBEGINIF COUNT=255 THEN CAO<=1;ELSE CAO<=0END IF;END PROCESS;END ARCHITECTURE ART;PWM信号发生器的VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY PWM ISPORT(CLK:IN STD_LOGIC;A,B:IN STD_LOGIC_VECTOR(7 DOWNTO 0);PWM:OUT STD_LOGIC);END ENTITY PWM;ARCHITECTURE ART OF PWM ISCOMPONENT LCNT8 ISPORT(CLK ,L D:IN STD_LOGIC;D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);CAO:OUT STD_LOGIC);END COMPONENT LCNT8;SIGNAL CAO1,CAO2:STD_LOGIC;SIGNAL LD1,LD2:STD_LOGIC;SIGNAL SPWM:STD_LOGIC;BEGINU1:LCNT8 PORT MAP(CLK=>CLK,LD=>LD1,D=>A,CAO=>CAO1);U2:LCNT8 PORT MAP(CLK=>CLK,LD=>LD2,D=>B,CAO=>CAO2);PROCESS(CAO1,CAO2)ISBEGINIF CAO1='1'THEN SPWM<='0';ELSIF CAO2'EVENT AND CAO2='1'THEN SPWM<='1';END IF;END PROCESS;LD1<=NOT SPWM;LD2<=SPWM;PWM<=SPWM;END ARCHITECTURE ART;3)工程编译后:4)仿真波形设置本程序包括两个程序,因此先进行底层的加载加法计数器 LCNT8的仿真,在进行顶层 PWM 的仿真,下图为 PWM 的 输入设置及可能结果估计图。

PWM信号发生器的设计——毕业设计论文

PWM信号发生器的设计——毕业设计论文

PWM信号发生器的设计实验/上机报告一、实验目的1、掌握序列发生器和检测器的工作原理;2、初步学会用状态机进行数字系统设计。

二、实验环境Quartus II 7.0 开发系统三、实验内容用状态机设计实现串序列检测器设计,可以用原理图输入法设计序列信号发生器,要求产生序列:0111010011011010;再进行检测设计,若检测到序列:11010则输出为“1”,否则输出为“0”。

并对其进行仿真和硬件测试。

四、实验过程本实验可以分为两部分来设计。

第一步设计序列信号发生器,在这里可以采用模16的计数器74LS161来产生模16的计数,并由它的4位输出可以产生16种状态,由此可以用来设计序列产生器,也可以采用状态机产生序列,本实验用状态机产生序列。

第二步设计序列检测器,这里用状态机设计,如果为真输出1,为假输出为0;第三步设计串行转并行输出,将序列并行输出在LED管上显示。

第四步是设计一个计数脉冲,记录出现所需要的序列的次数。

第五步是将所有模块连接起来,构成一个完整的序列发生和检测设计器。

实验代码:1、序列发生器library ieee;use ieee.std_logic_1164.all;entity xulie_produce is—序列产生电路port(clk,reset:in std_logic;comb_outputs:out std_logic);--序列输出end xulie_produce;architecture behav of xulie_produce istype fsm_st is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12,s13,s14,s15);--状态设计signal current_state,next_state:fsm_st;beginreg:process(reset,clk)—主控时序进程beginif reset ='1'then current_state<=s0;elsif clk='1'and clk'event thencurrent_state<=next_state;end if;end process;com:process(current_state)—主控组合进程begincase current_state iswhen s0 => comb_outputs<='0';next_state<=s1; when s1 => comb_outputs<='1';next_state<=s2; when s2 => comb_outputs<='1';next_state<=s3; when s3 => comb_outputs<='1';next_state<=s4; when s4 => comb_outputs<='0';next_state<=s5; when s5 => comb_outputs<='1';next_state<=s6; when s6 => comb_outputs<='0';next_state<=s7; when s7 => comb_outputs<='0';next_state<=s8; when s8 => comb_outputs<='1';next_state<=s9; when s9 => comb_outputs<='1';next_state<=s10; when s10 => comb_outputs<='0';next_state<=s11; when s11 => comb_outputs<='1';next_state<=s12; when s12 => comb_outputs<='1';next_state<=s13; when s13 => comb_outputs<='0';next_state<=s14; when s14 => comb_outputs<='1';next_state<=s15; when s15 => comb_outputs<='0';next_state<=s0; end case;end process;end behav;2、序列检测器library ieee;use ieee.std_logic_1164.all;entity s_machine is—序列检测电路port(clk,reset:in std_logic;state_inputs:in std_logic;--状态转移控制comb_outputs:out std_logic);检测结果输出end s_machine;architecture behav of s_machine istype fsm_st is (s0,s1,s2,s3,s4,s5);signal current_state,next_state:fsm_st;beginreg:process(reset,clk)主控时序进程beginif reset ='1'then current_state<=s0;elsif clk='1'and clk'event thencurrent_state<=next_state;end if;end process;com:process(current_state,state_inputs)—主控组合进程begincase current_state iswhen s0 => comb_outputs<='0';if state_inputs='1' then next_state<=s1;else next_state<=s0;end if;when s1 => comb_outputs<='0';if state_inputs='1' then next_state<=s2;else next_state<=s0;end if;when s2 => comb_outputs<='0';if state_inputs='0' then next_state<=s3;else next_state<=s2;end if;when s3 => comb_outputs<='0';if state_inputs='1' then next_state<=s4;else next_state<=s0;end if;when s4 => comb_outputs<='0';if state_inputs='0' then next_state<=s5;else next_state<=s2;end if;when s5 => comb_outputs<='1';--检测到11010输出1 if state_inputs='0' then next_state<=s0;else next_state<=s1;end if;end case;end process;end behav;3、串行输出变并行输出library ieee;use ieee.std_logic_1164.all;entity shift is –串行变并行电路port(clk,load,a:in std_logic;din :out std_logic_vector(7 downto 0));--并行输出end shift;architecture behav of shift isbeginprocess(clk,load,a)variable reg8 :std_logic_vector(7 downto 0);beginif clk'event and clk='1'thenif load='1'then reg8(7 downto 1):=reg8(6 downto 0);--load为1时开始装载reg8(0):=a;end if;end if;din<=reg8;end process;end behav;4、计数器设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY CNTM IS –计数电路PORT (CLK,RST,EN:IN STD_LOGIC;a,b,c:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END CNTM;ARCHITECTURE behav OF CNTM ISsignal a1,b1,c1:std_logic_vector(3 downto 0); BEGINPROCESS (CLK,RST,EN)VARIABLE N :INTEGER RANGE 0 TO 1000;BEGINIF RST ='1' THEN N:=0;ELSIF CLK 'EVENT AND CLK='1' THENIF EN = '1' THENIF N<100 THEN N:=N+1;--设计为100计数ELSE N:=0;END IF;END IF;END IF;a1<=conv_std_logic_vector((N/100),4);b1<=conv_std_logic_vector(((N/10)mod 10),4); c1<=conv_std_logic_vector((N mod 10),4);a<=a1;b<=b1;c<=c1;END PROCESS;实验步骤:1、建立工作库文件和编辑设计文件(1)在D盘新建一个文件夹用来保存工程文件(2)打开QuartusⅡ8.0软件,选择菜单File->New->VHDL File,点击OK后在打开的界面下输入已经设计好的程序。

实验2—占空比可调的PWM信号发生器

实验2—占空比可调的PWM信号发生器

实验2—占空比可调的PWM信号发生器第一篇:实验2—占空比可调的PWM信号发生器实验2占空比可调的PWM信号发生器一、实验任务基本部分:(1)用51单片机设计一个周期固定且占空比可调的PWM信号发生器。

(2)参数要求:a、信号周期为20ms,占空比范围1%-100%可调;b、用两个按键分别调整增量或减量;增量级别分为±1%和±5%两档可调,且要求可用按键选择;c、要求用两位LED数码管实时显示当前的占空比;d、51单片机晶振频率为12MHz。

扩展部分:(1)在基本部分设计的电路中,为输出的PWM信号增加光耦隔离输出电路;(2)为占空比调整过程增加超界声光报警电路;(3)可否改为脉宽固定而周期可改变的PWM信号发生器(简略说明,不要求设计编程)。

二、实验要求1、在PROTEUS中画出硬件设计图(AT89C51、12MHz晶振震荡电路、复位电路、按键调整电路、LED数码管显示电路、扩展部分电路)。

2、按任务要求用汇编或C编写程序并编译通过。

3、在PROTEUS下仿真通过。

三、报告要求1、任务分析、实现方案和程序流程图;2、硬件电路图;3、全部程序清单;4、打印出实验报告。

第二篇:信号发生器设计(推荐)模拟课程设计题信号发生器设计设计一个能够输出正弦波、三角波和矩形波的信号源电路,电路形式自行选择。

输出信号的频率可通过开关进行设定,具体要求如下:(1)输出信号的频率范围为100~800Hz,步进为100Hz。

(60分)(2)要求输出信号无明显失真,特别是正弦波信号。

(30分)评分标准:(1)范围满足设计要求得满分,否则酌情扣分。

(2)输出信号无明显失真可满分,有明显失真酌情扣分。

发挥部分(附加10分):进一步扩大输出信号范围和减小步进频率。

第三篇:VHDL实验四函数信号发生器设计.VHDL实验四:函数信号发生器设计设计要求:设计一个函数信号发生器,能产生方波,三角波,正弦波,阶梯波。

PWM波实验报告正文(修改)

PWM波实验报告正文(修改)

前言脉冲宽度调制(PWM),是英文“Pulse Width Modulation”的缩写,简称脉宽调制,是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。

当然,脉冲宽度调制是一种模拟控制方式,其根据相应载荷的变化来调制晶体管栅极或基极的偏置,来实现开关稳压电源输出晶体管或晶体管导通时间的改变,这种方式能使电源的输出电压在工作条件变化时保持恒定,是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术。

随着电子技术的发展,出现了多种PWM技术,其中包括:相电压控制PWM、脉宽PWM法、随机PWM、SPWM法、线电压控制PWM 等,而在镍氢电池智能充电器中采用的脉宽PWM法,它是把每一脉冲宽度均相等的脉冲列作为PWM波形,通过改变脉冲列的周期可以调频,改变脉冲的宽度或占空比可以调压,采用适当控制方法即可使电压与频率协调变化。

可以通过调整PWM的周期、PWM的占空比而达到控制充电电流的目的。

其有两大优点,优点一: 是从处理器到被控系统信号都是数字形式的,无需进行数模转换。

让信号保持为数字形式可将噪声影响降到最小。

噪声只有在强到足以将逻辑1改变为逻辑0或将逻辑0改变为逻辑1时,也才能对数字信号产生影响;优点二:是对噪声抵抗能力的增强,而且这也是在某些时候将PWM用于通信的主要原因。

从模拟信号转向PWM可以极大地延长通信距离。

在接收端,通过适当的RC或LC 网络可以滤除调制高频方波并将信号还原为模拟形式。

总之,PWM既经济、节约空间、抗噪性能强,是一种值得广大工程师在许多设计应用中使用的有效技术。

最重要的是PWM控制技术一直是变频技术的核心技术之一,由于PWM可以同时实现变频变压反抑制谐波的特点,在交流传动及至其它能量变换系统中得到广泛应用。

本文就简单介绍了PWM信号发生器的概念、作用及定义,分析了PWM产生电路的工作原理和设计过程。

波形发生器实验报告

波形发生器实验报告

单片机课程设计报告波形发生器2014 年02 月23日1、用户需求1、产生三角波、方波、正弦波信号2、输出信号的频率和幅度可以通过按键来改变,,分析波形产生的最高频率。

2、设计任务基于AT89C51的波形发生器主要功能如下:(1)可以三产生角波、方波、正弦波信号并通过按键控制。

(2)输出信号的频率和幅度可以通过按键来改变,分析波形产生的最高频率。

(3)以单片机为核心,经过D/A转换和放大电路的处理,最后输出信号。

3、原理框图及说明根据设计任务,设计如下框图:图1、原理框图电源部分,为单片机提供5V稳压电源;8位按键提供给用户用来选择需要输出的波形,以及修改频率及方波占空比;数码管显示所选择的的波形代号,1代表正弦波,2代表方波,3代表锯齿波,4代表三角波;幅度调节电路用来调节输出波形的幅度;D/A转换及放大电路可以将数字信号转换成模拟信号输出我们所需要的波形;显示电路则是将波形显示在屏幕上。

89C51上电后,扫描P1口,判断是否有键按下,进行相应的操作。

根据原理框图,设计电路图如附件1.设置的3位按键分别为S1代表正弦波,S2代表方波,S3代表锯齿波,S4代表三角波,S5代表增加方波占空比,S6代表减小方波占空比,S7代表增加频率,S8代表减小频率。

4、主要电路说明、元件选择及参数计算简易函数信号发生器原件清单如下:4.1主控芯片单片机的介绍(1)AT89C51的引脚如图2.2所示。

AT89C51单片机的40个引脚可分为:电源引脚2根、时钟引脚两根、控制引脚4根、输入/输出引脚32根。

各引脚功能描述如下:(1)主电压引脚●V CC:电源端,正常工作时接+5V电源● V SS:接地端(2)时钟引脚●XTAL1:内部振荡电路的反相放大器的输入端,接外部晶振和微调电容的一端。

采用外部时钟电路时,对HMOS型工艺的单片机而言,此引脚应接地;对CHMOS型而言,此引脚应接外部时钟的输入端。

●内部振荡电路的反相放大器的输出端,接外部晶振和微调电容的另一端。

波形发生器的设计与制作

波形发生器的设计与制作

明达职业技术学院实训(实践)报告2010-2011学年第二学期信息工程系电子信息工程技术专业班级09电信(一)班学号43093122课题名称波形发生器的设计与制作学生姓名苏欣指导教师王青2011-6-24波形发生器的设计与制作【摘要】本课题采用模拟电子技术,由555定时器和分立元件构成振荡电路和整形电路,产生三种波形。

波形发生器是用来产生一种或多种特定波形的装置,这些波形通常有正弦波、方波、三角波、锯齿波,等等。

以前,人们常用模拟电路来产生这种波形,其缺点是电路结构复杂,所产生的波形种类有限。

随着单片机技术的发展,采用单片机电路产生各种波形的方法已变的越来越普遍。

虽然,可能产生的波形会呈微小的阶梯状,但是,只要设计得当,这一问题可以得到一定的解决。

本设计使用的是555_virtual构成的发生器,可产生三角波、方波、正弦波等多种特殊波形和任意波形,波形的频率可用程序控制改变本设计制作的波形发生器,可以输出多种标准波形,如方波、正弦波、三角波、锯齿波等【关键词】多谐振荡器555定时器比较器电阻电容引言波形发生器是一种常用的信号源,广泛用于科学研究、生产实践和教学实践等领域。

如设计和测试、汽车制造、生物医药、传感器仿真、制造模型等。

传统的信号发生器采用模拟电子技术,由分立元件构成振荡电路和整形电路,产生各种波形。

它在电子信息、通信、工业等领域曾发挥了很大的作用。

但是采用这种技术的波形发生器电路结构复杂、体积庞大、稳定度和准确度较差,而且仅能产生正弦波、方波、三角波等几种简单波形,难以产生较为复杂的波形信号。

随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。

它扩展了波形发生器的功能,产生的波形也比以往复杂。

实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。

但由于微处理器的速度限制,这种方式的波形发生器分辨率较低,频率切换速度较慢。

从2007年2月到2007年4月,在系统研究国内外波形发生器的基础上提出了基于Matlab和FPGA技术的波形发生器,在FPGA内开辟高速存储器ROM做查询表,通过Matlab 获得波形数据存入ROM中,波形数据不断地,有序地从ROM中送到高速D/A转换器对存储器的波形数据进行转换。

波形发生器实验报告

波形发生器实验报告

波形发生器实验报告实验仪器本次实验主要使用的仪器是波形发生器和示波器,其中,波形发生器是一种电子工具,可以发出各种波形信号,包括正弦波、方波、三角波等,主要用于测试电路的性能以及信号调试等方面。

示波器则是一种测量电信号的仪器,可以将电信号转化为可视化的波形,方便工程师进行测量和分析。

实验目的本次实验的主要目的是通过对波形发生器进行实验,了解波形发生器的工作原理、掌握波形的产生和调试方法,以及了解不同类型波形对电路的功效影响。

实验内容本次实验主要分为以下几个部分,分别为:正弦波产生、方波产生、三角波产生、调制波产生、频率和振幅调节和FFT 测量。

1. 正弦波产生首先,通过连接电源红黑极线和地线,将波形发生器及示波器连接电源,打开波形发生器开关,进入正弦波发生模式,将正弦波的频率参数设置在1kHz左右,然后将信号输出端连接至示波器通道A的输入端,打开示波器,在垂直方向调整光标,使波形垂直偏移最小,在水平方向调整光标,使波形居中,然后开始观测正弦波形。

2. 方波产生在正弦波产生模式下,通过在波形发生器上打开方波信号开关,设置相应频率和振幅参数,将信号输出端连接至示波器通道A的输入端,打开示波器,在垂直方向调整光标,使波形垂直偏移最小,在水平方向调整光标,使波形居中,然后开始观测方波形。

3. 三角波产生在正弦波产生模式下,通过在波形发生器上打开三角波信号开关,设置相应频率和振幅参数,将信号输出端连接至示波器通道A的输入端,打开示波器,在垂直方向调整光标,使波形垂直偏移最小,在水平方向调整光标,使波形居中,然后开始观测三角波形。

4. 调制波产生在正弦波产生模式下,通过在波形发生器上打开调制波信号开关,将调制波输出端连接至示波器通道B的输入端,然后将信号输出端连接至示波器通道A的输入端,打开示波器,分别观测A、B两路波形,通过观察示波器的显示屏,可以看到调制波对于正弦波的影响。

5. 频率和振幅调节通过在波形发生器上设置相应的频率和振幅参数,可以调节所产生的波形信号的频率和振幅,进一步了解不同频率和振幅对于电路的发挥作用。

实验一PWM发生器实验

实验一PWM发生器实验

实验一 PWM发生器实验一、实验目的(1)了解掌握PWM发生器电路输出的波形。

(2)了解PWM发生器的作用。

二、实验所需挂箱及附件三、实验内容PWM发生器电路的各点波形观测。

四、预习要求阅读SG3525集成块的相关资料,了解PWM发生器的基本工作原理。

五、实验方法(1)将PMC-10挂箱的蓝色三芯插头与THPMC-1型控制屏的三芯插座相连,打开PMC-10挂箱的电源开关。

(2)将钮子开关分别打到低频侧和高频侧,调节波形的频率(即调节电位器RW1)和占空比(即调节电位器RW2),用万用表直流电压档观测“1”电压的变化情况,同时用双踪示波器的两个探头分别观测“2”和“输出”的波形,并填入下表。

六、实验报告(1)根据记录的数据,绘出“1”点电压和“2”点及“输出”端波形占空比的对应关系。

(2)测量PWM发生器电路在低频和高频段时的频率调节范围。

七、注意事项双踪示波器有两个探头,可同时观测两路信号,但这两个探头的地线都与示波器的外壳相连,所以两个探头的地线不能同时接在同一电路的不同电位的两个点上,否则这两点会通过示波器外壳发生电气短路。

为了保证测量的顺利进行,可将其中一根探头的地线取下,只使用其中一路的地线,这样从根本上解决了这个问题。

当需要同时观察两个信号时,必须在被测电路上找到这两个信号的公共点,将探头的地线接于此处,探头各接至被测信号,只有这样才能在示波器上同时观察到两个信号,而不发生意外。

实验二单结晶体管触发电路实验一、实验目的(1)熟悉单结晶体管触发电路的工作原理及电路中各元件的作用。

(2)掌握单结晶体管触发电路的调试步骤和方法。

二、实验所需挂箱及附件三、实验线路及原理利用单结晶体管(又称双基极二极管)的负阻特性和RC的充放电特性,可组成频率可调的自激振荡电路,如图1所示。

图中V3为单结晶体管,其常用的型号有BT33和BT35两种,由等效电阻V2和C组成RC充电回路,由C-V3-T组成电容放电回路,调节RW1即可改变C充电回路中的等效电阻。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

PWM波信号发生器的研制课程设计说明书课程设计名称:单片机专业课设课程设计题目: PWM信号发生器的研制学院名称:信息工程学院专业:电子信息工程班级:学号:姓名:评分:教师:20 13 年 7 月 2 日摘要1PWM波信号发生器的研制随着电力电子全控开关器件的出现,脉宽调制技术(PWM)在电力电子变流技术中获得了,。

泛的应Hj,如直流开关电源(DC/DC变换)、交。

交变频(AC/AC变换)、交.直.交变频系统、UPS电源(DC/AC变换)、高功率因数整流(PWM整流或功率l灭l数校正PFC等)等电力电子各个应用领域,无不采用PWM控制技术。

PWM控制最基本的实现方法是通过载波和控制波的模拟电路调制米产生,如采用三角形载波(或锯齿波)和直流(或正弦波)控制信号比较器进行比较产生PWM波。

这种方法在模拟控制技术中广泛采用,并出现过许多产生PWM波控制芯片,如用于开关电源的TL494、SG3525、UC3842等。

随着数字控制技术的发展,又出现了许多数字式PWM集成芯片,如用于变频调速的三相PWM发生器HEF4752、SLE4520、MA818等。

但与迅速发展的微机控制技术相比,该方法显示出电路复杂、调制方式不够灵活等缺点,而采用软件计算的实时PWM控制策略越来越彼人们接受,并出现了许多不同的PWM波的计算方法,如采样SPWM法、谐波注入PWM法、均值PWM法、等面积PWM法等。

电力电子的微机PWM控制技术已成为一种必然趋势。

因此本文就PWM的单片机控制与实现进行分析研究,通过对外围电路芯片的设计实现PWM输出波形的频率、电压幅值、占空比的连续调节,达到产生PWM信号目的。

关键字:脉宽调制技术(PWM)、占空比、控制信号2PWM波信号发生器的研制单片机原理与接口技术课程设计任务书20 12 -20 13 学年第 2 学期第 17 周- 19 周注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

目录3PWM波信号发生器的研制第一章系统的基本结构与分析 (5)1.1 系统设计内容与要求 (5)1.2系统的基本结构 (5)1.3系统的原理分析 (5)第二章软件设计 (6)2.1程序设计语言的区别与选择 (6)2.2 键盘功能介绍 (7)2.3 程序内部的具体说明 (8)2.3.1关键字的具体说明 (8)2.3.2单元地址介绍 (8)2.4软件流程图 (9)第三章硬件设计 (10)3.1 方案的选择 (10)3.2 各个电路模块的介绍 (11)3.2.1振荡电路 (11)3.2.2复位电路 (11)3.2.3 8253芯片定时/模块 (12)3.2.4键盘及显示电路 (15)第四章实验调试与结果分析 (17)4.1 实验调试器材 (17)4.2 实验调试过程 (17)4.3 测试结果分析 (18)结论 (19)参考文献 (20)附录A (21)附录B (23)4PWM波信号发生器的研制第一章系统的基本结构与分析1.1 系统设计内容与要求一、设计内容与要求:(4)采用定时/计数器8253(5) PWM信号的工作频率为500H(6)占空比可变且显示占空比1.2 系统的基本结构如图1.1所示为系统的基本结构框图。

第一个框图中的显示器是由HD7279来控制,HD7279芯片是管控制的显示与键盘。

第二个框图中锋的单片机用到的是89C51的核心控制单元。

第三个框图中的定时/计数器,选用的是8253芯片,并且用到了74LS138译码器的片选功能。

另外还用到一些门电路以及74LS373地址寄存器。

图1.1 基本结构框图1.3系统的原理分析在实验中,首先我们通过编写软件程序的,对8253计数器的写入值产生一系列幅值相等而宽度不等的脉冲。

其次,89C51单片机的作用是对PWM的显示器和定时/计数器进行控制。

最后,产生一系列有规则的脉冲PWM波形。

此外通过键盘按键的控制可以对8253计数器的初值进行改变,与此同时,数码管的占空比也能相应的显示出来。

5PWM波信号发生器的研制第二章软件设计2.1程序设计语言的区别与选择汇编语言和C语言他们的指令集,寻址方式不同,相当于两个机器各有各的操作方式汇编语言是低级语言,在编写程序的时候会把根据不同的情况指定使用不同的寻址方式,能够对内存和CPU里的通用寄存器直接操纵。

不同的计算机系列会有不同的汇编语言而C语言是高级语言,可以实现跨平台。

编写程序无需指定CPU的指令执行情况,一段程序可以在不同体系结构的计算机上使用,综合我们的实验要求,我们选择用汇编语言对程序进行编写。

6PWM 波信号发生器的研制72.2键盘功能介绍我们键盘功能需要使用到的芯片是HD7279,HD7279A 是一片具有串行接口的,可驱动8位共阴式数码管(或64只独立LED )的智能显示驱动芯片,该芯片同时还可连接多达64键的键盘矩阵,单片即可完成LED 显示、键盘接口的全部功能。

产品特点:串行接口,无需外围元件可直接驱动LED 各位独立控制译码/不译码及消隐和闪烁属性 (循环)左移/(循环)右移指令 具有段寻址指令,方便控制独立LED 64键键盘控制器,内含去抖动电路 有DIP 和SOIC 两种封装形式供选择。

图2.2-1 图2.2-2HD7279A 芯片图 HD7279A 芯片及键盘图PWM波信号发生器的研制2.3程序内部的具体说明2.3.1关键字的具体说明表2.3.1 关键字说明2.3.2单元地址介绍表2.3.2 单元地址介绍8PWM波信号发生器的研制2.4软件流程图对7279初始化,判断是否有按键按下,若没有按键按下,再次判断,重新进行键盘扫描;若键按下,判断是哪个键按下,并进行相应的操作。

最后通过ALE不断给8253计数器时钟信号,进而改变占空比,对计数器0口不同输出,进而达到实验要求。

9PWM波信号发生器的研制第三章硬件设计3.1方案的选择通过研究占空比可调信号的产生方法,采用三种不同的方案使用汇编语言的产生。

方案一:的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM信号;方案二:的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号。

方案三:是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。

方案四:选用可编程芯片8253的计数器0作为PWM信号发生器,8253的计数器0工作在可重复触发单稳态方式1下,它的输出口OUT0产生宽度可调的PWM信号脉冲,该输出脉冲宽度为:W=N/f;输出的占空比为:P=W1×(f/N) 通过使用proteus软件采用汇编语言能仿真证实了上文提到的四种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,四种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。

且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好但是我综合各个因素我选择方案四。

103.2各个电路模块的介绍3.2.1振荡电路振荡器能够输出某一指定频率的正弦波,因此闭合环路中包含选频网络。

若选频网络由RC元件构成,则该振荡器称为RC振荡器,一般用来产生1赫至几兆赫范围内的低频信号;若选频网络由LC元件组成,则该振荡器称为LC振荡器,一般用来产生几百千赫以上的高频信号。

若在LC振荡电路的选频网络中加入晶振元件,我们则称该电路为石英晶体振荡器,其目的是为了提高输出信号的频率稳定度。

3.2.2 复位电路按键按下会复位,单片机启动0.1S后,电容C两端的电压持续充电为5V,这是时候10K电阻两端的电压接近于0V,RST处于低电平所以系统正常工作。

当按键按下的时候,开关导通,这个时候电容两端形成了一个回路,电容被短路,所以在按键按下的这个过程中,电容开始释放之前充的电量。

随着时间的推移,电容的电压在0.1S内,从5V释放到变为了1.5V,甚至更小。

根据串联电路电压为各处之和,这个时候10K电阻两端的电压为3.5V,甚至更大,所以RST引脚又接收到高电平。

单片机系统自动复位。

总结:1、复位电路的原理是单片机RST引脚接收到2US以上的电平信号,只要保证电容的充放电时间大于2US,即可实现复位,所以电路中的电容值是可以改变的。

2、按键按下系统复位,是电容处于一个短路电路中,释放了所有的电能,电阻两端的电压增加引起的。

图3.2.2复位电路图3.2.1复位与振荡电路仿真图3.2.3 8253芯片定时/模块这个芯片和模块的功能对于此次实验起着这关重要的作用,下面先来介绍一下这块芯片的控制字和具体的工作方式。

图3.2.3-1 8253控制字每个计数通道内含1个16位的初值寄存器、减1计数器和1个16位的(输出)锁存器。

8253内部包含3个功能完全相同的通道,每个通道内部设有一个16位计数器,可进行二进制或十进制(BCD码)计数。

采用二进制计数时,最大计数值是FFFFH,采用BCD码计数时。

最大计数值是9999。

与此计数器相对应,每个通道内设有一个16位计数值锁存器。

必要时可用来锁存计数值。

当某通道用作计数器时,应将要求计数的次数预置到该通道的计数器中、被计数的事件应以脉冲方式从CLK端输入,每输入一个计数脉冲,计数器内容减“1”,待计数值计到“0”。

OUT端将有输出。

表示计数次数到。

当某个通道用作定时器时。

由CLK输入一定频率的时钟脉冲。

根据要求定时的时间长短确定所需的计数值。

并预置到计数器中,每输入一个时钟脉冲,计数器内容减“1”,待计数值计到“0”。

OUT 将有输出,表示定时时间到。

允许从CLK输入的时钟频在1~2MHz范围内。

因此,任一通道作计数器用或作定时器用,其内部操作完全相同,区别仅在于前者是由计数脉冲进行减“1”计数。

而后者是内时钟脉冲进行减“1”计数。

作计数器时,要求计数的次数可直接作为计数器的初值预置到减“1”计数器中。

作定时器时,计数器的初值即定时系数应根据要求定时的时间进行如下运算才能得到:定时系数=需要定时的时间/时钟脉冲周期①设置通道:向方式控制字寄存器端口写入方式选择控制字,用于确定要设置的通道及工作方式;②计数/定时:向通道写入计数值,启动计数操作;③读取当前的计数值:向指定通道读取当前计数器值时,8253将计数器值存入锁存器,从锁存器向外提供当前的计数器值,计数器则继续作计数操作。

相关文档
最新文档