可编程脉冲信号发生器的设计说明
脉冲信号发生器设计
脉冲信号发生器摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。
输出模式可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。
采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。
关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号;1 方案设计与比较脉冲信号产生方案:方案一、采用专用DDS芯片的技术方案:目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。
方案二、单片机法。
利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。
但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。
方案二:FPGA法。
利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。
2 理论分析与计算脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。
脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:+0.1%+0.05us,为满足精度要求,所以所选时钟频率至少1/0.05us=20MHZ,由于试验箱上大于10MHZ只有50MHZ,故选时钟信号50MHZ,此时精度1/50MHZ=0.02us<0.05us,满足精度要求。
FPGA实现可编程单脉冲发生器设计
可编程单脉冲发生器设计可编程单脉冲发生器是一种脉冲宽度可编程的信号发生器,其输出为TTL 电平。
在输入按键的控制下,产生单次的脉冲,脉冲的宽度由8位的输入数据控制(以下称之为脉宽参数)。
由于是8位的脉宽参数,故可以产生255种宽度的单次脉冲。
在目标板上,I0~I7用作脉宽参数输入,PULSE_OUT用做可编程单脉冲输出,而KEY和/RB作为启动键和复位键。
图3示出了可编程单脉冲发生器的电路图。
图3 可编程单脉冲发生器的电路图8.3.1 由系统功能描述时序关系可编程单脉冲发生器的操作过程是:(1) 预置脉宽参数。
(2) 按下复位键,初始化系统。
(3) 按下启动键,发出单脉冲。
以上三步可用三个按键来完成。
但是,由于目标板已确定,故考虑在复位键按下后,经过延时自动产生预置脉宽参数的动作。
这一过程可用图4的时序来描述。
图4 可编程单脉冲发生器的时序图图中的/RB为系统复位脉冲,在其之后自动产生LOAD脉冲,装载脉宽参数N。
之后,等待按下/KEY键。
/KEY键按下后,单脉冲P_PULSE便输出。
在此,应注意到:/KEY的按下是与系统时钟CLK不同步的,不加处理将会影响单脉冲P_PULSE的精度。
为此,在/KEY按下期间,产生脉冲P1,它的上跳沿与时钟取得同步。
之后,在脉宽参数的控制下,使计数单元开始计数。
当达到预定时间后,再产生一个与时钟同步的脉冲P2。
由P1和P2就可以算出单脉冲的宽度Tw。
8.3.2 流程图的设计根据时序关系,可以做出图5所示的流程图。
在系统复位后,经一定的延时产生一个预置脉冲LOAD,用来预置脉宽参数。
应该注意:复位脉冲不能用来同时预置,要在其之后再次产生一个脉冲来预置脉宽参数。
为了产生单次的脉冲,必须考虑到在按键KEY有效后,可能会保持较长的时间,也可能会产生多个尖脉冲。
因此,需要设计一种功能,使得当检测到KE Y有效后就封锁KEY的再次输入,直到系统复位。
这是本设计的一个关键所在。
脉冲信号发生器的制作课程设计一
脉冲信号发生器的制作课程设计(一)脉冲信号发生器用220V/50XX的工频交流电供电.(注:直流电源部分仅完成设计即可,不需制作,用实验室稳压电源调试)XX按照以上技术完成要求设计出电路,绘制电路图,对设计的电路用Multisim2021或OrCAD/PspiceAD9。
2进行必要的仿真,仿真通过后购买元器件,用万用板焊接电路,然后对制作的电路完成调试,撰写设计报告,通过答辩。
XX课程设计总结报告要求:XX题目任务书XX XX概述(简要说明本设计的基本内容)XX技术性能指标XX分析技术要求,选择技术方案,确定原理方框图,分析工作原理XX单元电路的设计(工作原理、元器件的选择、有关仿真波形和实测波形)XX总电路原理图(图纸大小自定,但要符合标准,可手工绘制,亦可用相关C AD软件如Protel、Multisim、OrCAD/PspiceAD等绘制)XX 附录(元器件明细表、需要专门说明或论述的问题、)XX10、总结及体会11、制作的电路XX三、设计进度:XX1、三周(2021.12。
8-—2021.12.26XX2、进度:(1)第一周熟悉题目,分析要求,查找资料,选择方案,优化方案,确定原理方框图。
(2)第二周单元电路设计,选择元器件,进行必要的仿真,确定电路原理图,画出电路原理图,购买元器件.XX(3)第三周焊接电路,调试,通过测试,技术总结、完成训练报告,答辩.目录一、摘要 (1)二、技术性能指标…………………………………………(2)XX三、方案选择和确定 (3)四、单元电路的设计 (5)五、实验仿真………………………………………………(13)六、电路板安装调试………………………………………(14)XX七、附录 (18)八、总结及体会……………………………………………(20)XX摘要XX信号发生电路是一种不需要外加激励就能将直流能源转化成具有一定频率和一定幅度一定波形的交流能量输出电路,又成为振荡器或波形发生器.通过与波形变换电路相结合,它能产生**种波形,能满足现代通信,自动控制,热加工.音XX系统和数字系统等对**种信号的要求.本次课程设计的任务是设计并制作一个脉冲信号发生器,整体设计通过四个主要模块完成,每一个模块完成一个功能.采用文氏桥式电路产生一个1KXX正弦波信号,通过由555定时器连接成的施密特触发器,变换成同频率的方波,再经一个由同步二进制计数器74LS161接成的十进制计数器将1KXX 脉冲转换成100XX输出,进行第一次频率变换.最后经锁相环,实现100倍频目的.整个系统由220V交流供电,测试结果通示波器观察即可.XX 在此过程中,我们对组合逻辑电路、时序逻辑电路数、数字集成电路、小规模的门电路的功能及其有了进一步的了解和掌握 ,达到了更加熟练的应用这些器件的目的。
最新脉冲发生器课程设计
脉冲发生器一.设计题目脉冲发生器的设计二.主要技术指标脉冲信号发生器:频率2K-20K可调三.方案论证与选择NE555构成的单稳态电路(触发时间为一秒)单稳工作方式,它可分为3种。
见图示。
第1种(图1)是人工启动单稳,又因为定时电阻定时电容位置不同而分为2个不同的单元,并分别以1.1.1 和1.1.2为代号。
他们的输入端的形式,也就是电路的结构特点是:“RT-6.2-CT”和“CT-6.2-RT”。
图2-1 555人工启动单稳第2种(图2)是脉冲启动型单稳,也可以分为2个不同的单元。
他们的输入特点都是“RT-7.6-CT”,都是从2端输入。
1.2.1电路的2端不带任何元件,具有最简单的形式;1.2.2电路则带有一个RC微分电路。
图2-2 555脉冲启动单稳第3种(图3)是压控振荡器。
单稳型压控振荡器电路有很多,都比较复杂。
为简单起见,我们只把它分为2个不同单元。
不带任何辅助器件的电路为1.3.1;使用晶体管、运放放大器等辅助器件的电路为1.3.2。
图中列出了2个常用电路。
图2-3单稳型压控振荡电路四.系统总图图2-4 总体电路图波形发生器一、设计题目波形发生器的设计与制作二.主要技术指标输出频率为160Hz的正弦波、方波、三角波。
正弦波幅度10V;方波幅度6V;三角波幅度为4V。
三.方案论证及选择:正弦波:方案一、由R、C振荡电路产生,其中包括R、C串并联电路和R、C移相电路两种。
方案二、由L、C振荡电路产生。
方案三、由集成运放构成的RC桥式振荡电路产生。
包括放大、反馈、选频和稳幅等基本部分。
输出波形稳定性良好。
方波:方案一、方波可由NE555构成多谐振荡器来产生。
方案二、由运放构成的电压比较器,在运放的输出端引入限流电阻和两个背靠背的稳压管组成双向限幅方波产生电路。
三角波:方案一、由方波来产生:可以由NE555电路产生的方波或是集成运放产生的通过R、C积分来得到。
方案二、由同相输入迟滞比较器和积分器产生方案选择:通过对以上方案进行比较,我们选择的方案是:正弦波是由集成运放构成的RC 桥式振荡电路产生。
脉冲信号发生器设计
摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。
输出模式可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。
采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。
关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号;引言(一)方案设计与比较脉冲信号产生方案:方案一、采用专用DDS芯片的技术方案:目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,内部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。
方案二、单片机法:利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。
但是单片机的内部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。
方案二:FPGA法:利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。
(二)理论分析与计算脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。
脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:+0.1%+0.05us,为满足精度要求,所以所选时钟频率至少1/0.05us=20MHZ,由于试验箱上大于10MHZ只有50MHZ,故选时钟信号50MHZ,此时精度1/50MHZ=0.02us<0.05us,满足精度要求。
可控脉冲发生器的设计
可控脉冲发生器的设计一、 实验目的1、 了解可控脉冲发生器的实现机理。
2、 学会用示波器观察FPGA 产生的信号。
3、 学习用VHDL 编写复杂功能的代码。
二、 实验原理脉冲发生器就是要产生一个脉冲波形,而可控脉冲发生器则是要产生一个周期和占空比可变的脉冲波形。
可控脉冲发生器的实现原理比较简单,可以简单的理解为一个计数器对输入的时钟信号进行分频的过程。
通过改变计数器的上限值来达到改变周期的目的,通过改变电平翻转的阈值来达到改变占空比的目的。
下面举个简单的例子来说明其工作原理。
假如有一个计数器T 对时钟分频,其计数的范围是从0~N ,另取一个M (0≤M ≤N ),若输出为Q ,那么Q 只要满足条件时,通过改变N 值,即可改变输出的脉冲波的周期;改变M 值,即可改变脉冲波的占空比。
这样输出的脉冲波的周期和占空比分别为:三、 实验内容编写实现可控脉冲发生器程序,通过脉冲周期和占空比改变实现不同脉冲的输出。
用Quartu s 软件对设计进行编译、综合、仿真,给出相应的时序仿真波形和硬件电路图。
四、 实验程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity exp10 isport( Clk : in std_logic; --时钟输入Rst : in std_logic; --复位输入⎩⎨⎧≤≤<≤=N T M M T Q 001%1001)1(⨯+=+=N M T N CLOCK占空比周期NU,ND : in std_logic; --输入:控制频率的改变MU,MD : in std_logic; --输入:控制占空比的改变Fout : out std_logic --波形输出);end exp10;architecture behave of exp10 issignal N_Buffer,M_Buffer : std_logic_vector(10 downto 0);signal N_Count :std_logic_vector(10 downto 0);signal clkin : std_logic;signal Clk_Count : std_logic_vector(12 downto 0); --产生一个低速时钟,用于按键判断beginprocess(Clk) --计数器累加beginif(Clk'event and Clk='1') thenif(N_Count=N_Buffer) thenN_Count<="00000000000";elseN_Count<=N_Count+1;end if;end if;end process;process(Clk) --波形判断beginif(Clk'event and Clk='1') thenif(N_Count<M_Buffer) thenFout<='1';elsif(N_Count>M_Buffer and N_Count<N_Buffer) thenFout<='0';end if;end if;end process;process(Clk)beginif(Clk'event and Clk='1') thenClk_Count<=Clk_Count+1;end if;clkin<=Clk_Count(12);end process;process(clkin) --频率及占空比的改变1beginif(clkin'event and clkin='0') thenif(Rst='0') thenM_Buffer<="010********";N_Buffer<="10000000000";elsif(NU='0') thenN_Buffer<=N_Buffer+1;elsif(ND='0') thenN_Buffer<=N_Buffer-1;elsif(MU='0') thenM_Buffer<=M_Buffer+1;elsif(MD='0') thenM_Buffer<=M_Buffer-1;end if;end if;end process;end behave;五、时序仿真图。
脉冲信号发生器课程设计
目录一、设计任务及要求1.1设计任务 (2)1.2设计要求 (2)二、摘要 (3)三、系统设计2.1系统要求 (4)2.2方案设计 (4)2.3系统工作原理 (5)四、单元电路设计3.1 555定时器组成的多谐振荡器 (6)3.1.1 电路结构及工作原理 (6)3.1.2电路仿真 (7)3.2 74LS161 计数器降频电路 (8)3.2.1 电路结构及工作原理 (8)3.2.2 电路仿真 (9)3.2.3 元器件的选择及参数确定 (9)五、实验仿真 (10)六、参考文献 (11)七、心得体会 (12)一、设计任务及要求1.1 设计任务:输入1kHZ正弦波,输出100HZ和10kHZ脉冲信号。
1.2 设计基本要求:1)输入正弦波,设计脉冲信号;2)拟定设计步骤和仿真方案;3)根据设计要求和技术指标设计好电路,选好元器件和参数;4)要求画出原理图,并用仿真元件仿真。
5)撰写设计报告。
二、摘要信号发生电路是一种不需要外加激励就能将直流能源转化成具有一定频率和一定幅度一定波形的交流能量输出电路,又成为振荡器或波形发生器.通过与波形变换电路相结合,它能产生各种波形,能满足现代通信,自动控制,热加工.音视频系统和数字系统等对各种信号的要求.本次课程设计的任务是设计一个脉冲信号发生器,输入一个1KHZ正弦波信号,通过由555定时器连接成的施密特触发器,变换成同频率的方波,再经一个由同步二进制计数器74LS161接成的十进制计数器将1KHZ脉冲转换成100HZ输出,进行第一次频率变换.在此过程中,我们对组合逻辑电路、时序逻辑电路数、数字集成电路、小规模的门电路的功能及其使用方法有了进一步的了解和掌握 ,达到了更加熟练的应用这些器件的目的。
通过本次训练基本掌握数字电路的设计的基本方法,学会器件的选择和应用.并且通过对电路的设计、仿真,提高自己的发现问题、分析问题、解决问题的能力。
三、系统设计2.1 系统要求运用所学到的数电模电知识查找到的资料结合实际,设计原理图,焊接元器件,要求满足课设课题要求。
单片机PWM信号发生器的原理与设计
单片机PWM信号发生器的原理与设计引言在现代电子技术中,脉冲宽度调制(PWM)信号发生器被广泛应用于各种电路和系统中。
单片机作为常见的嵌入式系统解决方案,具备了成本低、功耗低、可编程性强等优势,因此被广泛用于PWM信号发生器设计中。
本文将介绍单片机PWM 信号发生器的原理与设计。
一、PWM信号发生器的原理1.1 脉冲宽度调制(PWM)概述脉冲宽度调制(PWM)是一种将模拟信号转换为数字信号的技术。
PWM信号由连续的短脉冲组成,其脉冲的宽度可以根据需要进行调整。
通过改变脉冲信号的宽度与周期之比,可以模拟出不同的模拟信号输出。
1.2 PWM信号发生器的基本原理PWM信号发生器的基本原理是通过控制脉冲的宽度和周期,实现对输出波形的精确控制。
单片机通常具有定时器模块,通过定时器模块的特定设置,可以生成精确的脉冲信号。
单片机还需要连接输出引脚,将生成的PWM信号输出给外部电路。
二、单片机PWM信号发生器的设计2.1 硬件设计单片机PWM信号发生器的硬件设计包括选择合适的单片机、外部电路连接和输出端口设计。
首先,选择适合的单片机。
考虑到PWM信号发生器需要高精度、可编程性强的特点,可以选择带有定时器模块的单片机。
常见的单片机型号有ATmega系列、PIC系列等。
根据实际需求选择合适的型号。
其次,进行外部电路连接。
通常需要连接电源、晶体振荡器以及输出端口。
电源提供电压稳定源,晶体振荡器提供时钟信号。
输出端口需要连接到PWM信号的目标设备上。
最后,进行输出端口设计。
根据实际需求确定输出端口的数量和类型。
常用的输出接口有GPIO、PWM输出等。
根据单片机型号和外部电路要求进行设计。
2.2 软件设计单片机PWM信号发生器的软件设计包括定时器设置和PWM生成代码编写。
首先,进行定时器设置。
根据单片机型号和需求,设置定时器的时钟源、分频系数、计数模式等参数。
通过合理的定时器设置,可以实现精确的脉冲宽度和周期控制。
其次,编写PWM生成代码。
电子系统课程设计报告--数控脉冲信号发生器
电子系统课程设计设计报告设计题目:数控脉冲信号发生器学院:电子信息工程学院专业:学生姓名:学号:指导教师:目录1 设计任务要求 (2)1.1 基本部分 (2)1.2 发挥部分 (2)2 设计方案及论证 (2)2.1 任务分析 (2)2.2 方案比较 (3)2.3 系统结构设计 (4)2.4 具体电路设计 (5)2.4.1 产生基准频率 (5)2.4.2 N分频电路 (6)2.4.3 锁相环设计 (7)2.4.4 功率放大电路 (9)3 制作及调试过程 (12)3.1 制作与调试流程 (12)3.1.1 分频电路 (12)3.1.2 锁相环电路 (12)3.1.3 功率放大电路 (12)3.2 遇到的问题与解决方法 (12)4 系统测试 (13)4.1 测试方法 (13)4.1.1 脉冲信号产生部分 (13)4.1.2 功率放大电路 (13)4.2 测试数据 (13)4.3 数据分析和结论 (13)5 系统使用说明 (14)5.1 系统外观及接口说明 (14)5.2 系统操作使用说明 (14)6 总结 (15)6.1 本人所做工作 (15)6.2 收获与体会 (15)6.3 缺陷与不足 (15)6.4 对本课程的建议 (16)7 参考文献 (16)1 设计任务要求1.1 基本部分(1)输出1kHz~15kHz的方波脉冲信号,占空比50%,波形良好,无明显失真。
(2)信号发生器输出电阻不限,在负载电阻为100Ω时,输出脉冲信号电平满足TTL电平要求。
(3)输出信号频率可通过拨码开关输入二进制数字预置,步进频率1kHz(即可输出信号频率1kHz、2kHz、3kHz、……、15kHz),要求拨码开关所预置的二进制数值即为输出频率值(单位:kHz)。
输出信号频率的精度和稳定度要求优于10-4。
1.2 发挥部分(1)输出信号频率范围2.0kHz~20.0kHz,步进频率0.1kHz(即可输出信号频率2.0kHz、2.1kHz、2.2kHz、……、19.9kHz,20.0kHz)。
课程设计-----脉冲信号发生器说明书
电子技术综合训练设计报告题目:脉冲信号发生器姓名:学号:班级:同组成员:指导教师:日期:2011年12月29日内容摘要脉冲信号发生器主要用来作为各种电子设备的信号源,此电路要求达到:设计并制作一个信号发生器,基本要求如下:1、能够输出1KHZ正弦波信号;2、由该1KHZ脉冲信号产生100HZ脉冲信号;3、由100HZ脉冲信号产生10KHZ脉冲信号;4、输出信号能够在这三种信号中通过电子开关进行选择,电子开关由按键控制,并且能够对选择的信号用发光二极管指示;实现方法:RC文氏振荡器产生正弦波﹑通过过零比较器转化为脉冲信号﹑经过分频倍频电路实现脉冲宽度的调节﹑由模拟开关﹑四进制计数器﹑译码器实现三种波形之间的转化。
本次设计的要点在于电路的线路的连接及焊接,通过设计体会理论与实际结合的重要性。
关键词:脉冲信号发生器﹑正弦波﹑脉冲信号、电子开关。
目录一﹑设计任务及其要求要求: (4)1.1设计并制作一个信号发生器, (4)1.2 基本要求如下: (4)1.3 发挥部分: (4)二﹑系统设计 (5)2.1 系统要求 (5)2.2 方案设计 (5)2.3 方案的选择和确定 (5)2.3.1正弦波的产生 (5)2.3.2波形变换 (6)2.3.3分频倍频 (6)2.3.4电子开关 (6)2.4 设计指标 (7)2.5 系统组成及其工作原理 (7)三﹑单元电路设计 (9)3.1 单元电路A(RC振荡电路) (9)3.1.1 RC低频桥式正弦波振荡电路 (9)3.1.2 参数计算 (12)3.2单元电路B(过零比较器) (13)3.3 单元电路C﹙分频电路﹚ (15)3.4 单元电路D(倍频电路) (17)3.5 单元电路E(模拟开关) (19)3.6 单元电路F(74LS112型双JK触发器) (21)3.7 单元电路G(74LS139) (23)3.8 直流稳压电源电路 (24)四、系统仿真 (25)五﹑电路安装与调试 (26)5.1电路安装 (26)5.2 电路调试 (27)5.3 系统功能及性能测试 (27)六﹑结论 (28)七﹑参考文献 (30)八、总结、体会及建议 (31)一﹑设计任务及其要求要求:1.1设计并制作一个信号发生器,1.2 基本要求如下:1.能够输出1KHZ正弦波信号;2、由该1KHZ脉冲信号产生100HZ脉冲信号;3、由100HZ脉冲信号产生10KHZ脉冲信号;4、输出信号能够在这三种信号中通过电子开关进行选择,电子开关由按键控制,并且能够对选择的信号用发光二极管指示;5、电源:220V/50HZ的工频交流电供电;(注:直流电源部分仅完成设计即可,不需制作,用实验室提供的稳压电源调试,但要求设计的直流电源能够满足电路要求)6、按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim 或OrCAD/PspiceAD9.2进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。
基于FPGA的单脉冲发生器
可编程单脉冲发生器FPGA课程设计报告提纲1.任务根据输入的8位的脉宽参数,输出255种宽度的单次脉冲。
可编程单脉冲发生器是一种脉冲宽度可编程的信号发生器,在输入按键的控制下,产生单次的脉冲,脉冲的宽度由8位的输入数据控制。
由于是8位的脉宽参数,故可以产生255种宽度的单次脉冲。
2.目的产生一个脉冲宽度可根据8位输入数据(data)可变的脉冲发生器。
可变的脉冲信号可以通过把输入的data赋值给一个count,通过对count信号做减1操作控制输出的脉冲宽度。
把data赋给count后,在key键启动的情况下,输出脉冲pulse信号开始输出低电平,count同时在每来一个时钟信号的时候做减1操作,而且pulse信号一直保持高电平,当count减为0时,把pulse信号拉低。
这样就输出了一个脉冲宽度可以根据data输入信号可变的脉冲信号。
3.使用环境(软件/硬件环境,设备等)布局布线使用的环境是Quartus II。
仿真测试使用的环境是ModelSim SE。
4.FPGA课程设计详细内容4.1 技术规范(一)、功能定义如下:本设计实现一个可编程单脉冲发生器,具体功能如下:1.异步信号复位,复位后信号输出可以重新开始。
2.把8为脉冲宽度data赋给计数信号count。
3.当有key按键使能时,输出脉冲信号,并做count减1操作,重复再按使能键无效。
4.使能按键后产生的单脉冲的上升沿与时钟取得同步。
5.当count减为0时,脉冲信号拉低。
高电平持续的宽度,即所需的脉冲宽度。
(二)、引脚定义信号名输入/输出功能描述clk Input 时钟频率50M占空比1:1。
rst_n Input 低电平有效,程序重新执行,计数器清零。
data[7:0] Input 8位控制脉冲信号的宽度。
key Input 按键控制pulse输出。
pulse Output 输出信号。
4.2 设计方案(1)系统功能描述(1)分频模块:输入为总的时钟50M,经过分频以后变为100HZ。
三菱PLC脉冲产生发生器的编程实例
三菱PLC脉冲产生发生器的编程实例(1)单脉冲发生器在plc的程序设计中,常常需要单个脉冲来实现计数器的复位,或作为系统的起动、停止信号。
可以通过脉冲微分指令PLS和PLF指令来实现,如图3-19所示。
在图3-20中,输入点X0每接通一次,就产生一个定时的单脉冲。
无论X0接通时间长短如何,输出Y0的脉宽都等于定时器T0设定的时间。
(2)连续脉冲发生器在PLC程序设计中,常常需要一系列连续的脉冲信号作为计数器的计数脉冲或其他作用。
连续脉冲可分为周期不行调和周期可调两种状况。
1)周期不行调的连续脉冲发生器。
如图3-21所示,输入点X0接带自锁的按钮。
利用帮助继电器M1产生一个脉宽为一个扫描周期、脉冲周期为两个扫描周期的连续脉冲。
其工作原理分析如下:当X0常开触点闭合后,第一个扫描周期,M1常闭触点闭合,所以M1线圈能得电;其次个扫描周期,因在上一个扫描周期Ml线圈已得电,所以M1的常闭触点断开,因此使M1线圈失电。
因此,Ml线圈得电时间为一个扫描周期。
M1线圈不断连续地得电、失电,其常开触点也随之不断连续地闭合、断开,就产生了脉宽为一个扫描周期的连续脉冲信号输出,但是脉冲宽度和脉冲周期不行调。
2)周期可调的连续脉冲发生器。
若要产生一个周期可调整的连续脉冲,可使用如图3-22所示的程序。
其工作原理分析如下:当X0常开触点闭合后,在第一个扫描周期,T0常闭触点闭合,T0线圈得电。
经过2s的延时,T0的当前值和设定值相等,T0的触点将要动作。
所以在断开后的第一个扫描周期中,T0常闭触点断开,使T0线圈失电。
在此后的下一个扫描周期,T0常闭触点恢复闭合,又使T0线圈得电,重复以上动作,就产生了脉宽为一个扫描周期、脉冲周期为2s 的连续脉冲。
可以通过转变T0的设定值来转变连续脉冲的周期。
可编程单脉冲发生器设计FPGA
可编程单脉冲发生器设计一、功能描述:本设计实现一个可编程单脉冲发生器,具体功能如下:1.异步信号复位,复位后信号输出重新开始。
2.复位后5个时钟周期时,产生一个脉冲,对输入的脉冲宽度参数读取。
3.当有按键使能时,输出脉冲信号,重复再按使能键,无效。
4.使能按键后产生的单脉冲的上升沿与时钟取得同步。
5.产生的脉冲信号的最大宽度为255。
二、输入输出信号描述:系统结构框图顶层模块说明:1、counter:计数比较,确保输出信号宽度为输入data值;2、sync:将按键产生的单脉冲的上升沿与时钟取得同步;3、T_trigger:T触发器模块,锁按键信号,使按键只能第一次有效;4、preset_parameter:预置脉冲参数;5、LED:将输入data用数码管输出显示。
设计说明:设计分为计数比较、按键同步、预置脉冲参数、T触发器模块、LED 显示五个模块。
计数比较模块:计数延时,由输入的宽度参数data的不同而得到不同宽度的脉冲。
按键同步模块:使按键产生的单脉冲的上升沿与时钟取得同步。
预置脉冲参数:在系统进行复位操作的5个时间单位后,将输入的脉冲参数data预置到flag_data中。
T触发器模块:T触发器模块,锁按键信号,使按键只能第一次有效。
LED显示模块:将脉冲宽度的预置值和计数模块的计数值转换为数码管输出显示。
四、子模块描述:4.1、counter:计数判断输出模块1、功能描述计数延时,由输入的宽度参数data的不同而得到不同宽度的脉冲。
复位后输出out为0。
当Q_in按键使能标志有效时,cnt[7:0]从零开始计数,当cnt<data_in时,输出out=1;其余输出为0。
4、模块验证1.正常运行观测其输出变化;复位观测。
2.将cnt接数码管显示,观测脉冲宽度。
4.2、sync:同步按键与时钟信号模块1、功能描述使按键产生的单脉冲的上升沿与时钟取得同步。
当按键被按下(即en_in=0)时,将Q1<=1,在clk为高电平时将Q2<=Q1,en_out<=Q2;clr=en_in&en_out,当clr有效时Q1<=0。
脉冲信号发生器
电子技术综合训练设计报告题目:脉冲信号发生器姓名:xxx学号:xxxxxxx班级:xx 电气及其自动化xx同组成员:xxx指导教师:xxx日期:2011年1月4日脉冲信号发生器的原理主要分为四部分,即正弦波的产生,方波的变换,分频电路和倍频电路,并由这四部分最终产生三种不同频率的信号,其要点在于电路的线路连接及焊接。
通过设计体会理论与实际结合的重要性.关键字:正弦发生多谐振荡器降频电路锁相环一、设计任务和要求 (5)1.1设计任务 (5)1.2设计要求 (5)二、系统设计 (6)2.1系统要求 (6)2.2方案设计 (6)2.3系统工作原理 (7)三、单元电路设计 (8)3.1 RC正弦发生器 (8)3.1.1电路结构及工作原理 (9)3.1.2电路仿真 (9)3.1.3元器件的选择及参数确定 (9)3.2 555定时器组成的多谐振荡器 (9)3.2.1电路结构及工作原理 (9)3.2.2电路仿真 (11)3.3 74LS161计数器降频电路 (11)3.3.1电路结构及工作原理 (11)3.3.2电路仿真 (11)3.3.3元器件的选择及参数确定 (11)3.4 锁相环升频电路 (13)3.4.1电路结构及工作原理 (13)3.4.2元器件的选择及参数确定 (15)四、系统仿真 (17)五、电路安装、调试与测试 (18)5.1电路安装 (17)5.2电路调试 (17)5.3系统功能及性能测试 (17)5.3.1测试方法设计 (18)5.3.2测试结果及分析 (18)结论 (19)参考文献 (20)总结、体会和建议 (21)附录 (22)一、设计任务和要求1.1设计任务设计并制作一个脉冲信号发生器。
1.2设计要求1、能够输出1KHZ正弦波信号;2、由该1KHZ正弦信号产生100HZ脉冲信号;3、由100HZ脉冲信号产生10KHZ脉冲信号;4、输出信号能够在这三种信号中通过电子开关进行选择,电子开关由按键控制,并且能够对选择的信号用发光二极管指示;5、按照要求,设计电路原理图,用multisim进行仿真,用万用板焊接元器件,完成调试、测试,撰写设计报告。
可编程信号发生器设计与实现
可编程信号发生器设计与实现摘要:信号发生器是一种常见的信号源,在生产实践、科技领域和教学方面都有着广泛的应用。
由分立元件组成的信号发生器,电路结构较复杂,产生波形种类少,电参数调节不精确;利用单片机,采用软硬件结合设计的信号发生器,具有电路结构简单、性能稳定、价格便宜等优点,具备一定的研究价值。
本设计采用STC89C52单片机为系统核心,内部写入波形程序,外部接DAC0832数模转换器进行数模转换,再通过运放电路处理后输出波形。
本次设计成果能产生三种基本波形(正弦波、方波和三角波),三种波形通过按键切换,波形频率通过数码管显示,通过频率加减键可实现频率的改变,并且波形幅度可调。
本设计的可编程性体现在可以灵活的对单片机内部程序进行修改和拓展。
总体来看,本设计电路简单、布局合理、软件功能强大,整体上达成了预想效果。
关键词:信号发生器;STC89C52;DAC0832;运放;可编程中图分类号:TN41The Design and Implementation of Programmable Signal GeneratorAbstract:The signal generator is a common source of signal,in the field of production practice, science and technology and teaching have a wide range of applications.By the signal generator of the discrete component, the circuit structure is complex, the waveform is less and the electric parameters are not adjusted;the signal generator with the combination of hardware and software with the use of single-chip microcomputer,with the advantages of simple circuit, stable performance and cheap price, etc, have a certain research value.The design used STC89C52 microcontroller as the core of the system, the waveform program was deposited in the microcontroller, connected DAC0832 d/a converter to convert digital to analog,then through the op-amp circuit output waveform after processing. The design results can produce three basic waveforms(sine wave, square wave and triangular wave), three waveforms switch by button, frequency of the waveform through a digital tube display, it can be achieved through frequency plus and minus keys to change the frequency, and waveform amplitude can be adjusted. The design of the programmable embodied in the flexibility of single chip microcomputer internal procedures to modify and expand. Overall, the design of simple circuit, reasonable layout, powerful software, as a whole to achieve the expected effect.Keywords: Signal Generator; STC89C52;DAC0832; Operational Amplifier ;Programmable.Classification: TN41目次摘要 (I)目次 (III)1 绪论 (1)1.1 研究背景和意义 (1)1.2 发展历程及现状 (1)2 总体设计 (3)2.1 设计要求 (3)2.2 设计方案 (3)3 硬件设计 (5)3.1 单片机最小系统 (5)3.2 数码管显示模块 (6)3.3 系统电源模块 (7)3.4 DAC0832模块 (8)3.5 按键模块 (11)3.6 整体电路 (12)3.7 PCB布线图的制作 (12)4 软件设计 (14)4.1 主程序流程图 (14)4.2 中断程序流程图 (14)4.3 按键扫描和频率显示流程图 (15)4.4 波形产生流程图 (16)4.5 延时子程序设计 (16)5 系统仿真与调试 (17)5.1 PROTEL仿真软件 (17)5.2 STC-ISP程序烧录软件 (18)5.3 系统软硬件调试 (19)5.4 系统测试 (19)6 结论 (22)参考文献 (23)附录A 元器件清单 (23)附录B 电路原理图 (25)附录C PCB图 (26)附录D 程序 (27)作者简历..................................................... 错误!未定义书签。
脉冲序列发生器设计.
摘要脉冲序列检测器广泛应用于现代数字通信系统中,随着通信技术的发展,对多路脉冲序列信号检测要求越来越高。
现代通信系统的发展方向是功能更强、体积更小、速度更快、功耗更低,大规模可编程逻辑器件FPGA器件的集成度高、工作速度快、编程方便、价格较低,易于实现设备的可编程设计,这些优势正好满足通信系统的这些要求。
随着器件复杂程度的提高,电路逻辑图变得过于复杂,不便于设计。
VHDL(VHSIC Hardware Description Language)是随着可编程逻辑器件的发展而发展起来的一种硬件描述语言。
VHDL具有极强的描述能力,能支持系统行为级、寄存器输级和门级三个不同层次的设计,实现了逻辑设计师多年来梦寐以求的“硬件设计软件化”的愿望,给当今电子通信系统设计带来了革命性的变化。
本文针对传统的脉冲序列检测器方案,提出了一种基于对脉冲序列检测器设计的新方案,该方案相对于传统的设计方法更适合于现代数字通信系统,不但大大减少了周边的设备,也使系统设计更加灵活,稳定性更好,性价比更高,可以满足多种环境下的检测系统的要求。
关键词:多路数据选择器、Multisim、计数器、序列检测器目录摘要 (1)1目录 (1)2.设计内容及设计要求 (2)3.1 实验目的 (3)3.2参考电路 (4)3.3实验内容及主电路图 (5)3.4多谐振荡器的介绍 (6)3.5计数器的介绍 (9)3.6数据分析 (12)3.7数据选择器的介绍 (14)4实验结果 (16)4.1实验结果的分析 (17)设计总结 (18)致谢 (19)参考文献 (20)2设计内容及技术要求1、设计并制作一个脉冲序列发生器,周期性的产生8位长度的任意脉冲序列,脉冲序列可以通过设置电路自由设置。
2、能够检测出设置的脉冲序列,在每出现一次设置的脉冲序列时,点亮一次LED;3、时钟脉冲周期为1HZ;4、对设置的脉冲序列值通过适当的方式进行指示;5、电源:220V/50HZ的工频交流电供电;6、(直流电源部分仅完成设计仅可,不需制作,用实验室提供的稳压电源调试,但要求设计的直流电源能够满足电路要求)7、按照以上要求设计电路,绘制电路图,对设计的的电路用Multisim或OrCAD/PspiceAD9进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。
可编程脉冲信号发生器的设计
可编程脉冲信号发生器的设计摘要基于单片机的可编程脉冲信号发生器,通过4x4的非编码矩阵键盘键入脉冲信号的指标参数频率、占空比和脉冲个数,在单片机的控制处理下发出满足信号指标的脉冲信号,并在液晶显示屏的制定位置显示出相关参数。
复位电路采用上电复位和手动复位的复合复位方式,保证单片机在上电和程序运行进入死循环时,单片机均能正常复位。
利用在工作方式1下的定时器和计数输出低频脉冲信号,以及在工作方式2下能够自动重复赋初值的定时器输出高频脉冲信号,从而使频率和占空比满足指标要求。
通过程序设计,使单片机每次发出信号后等到重置信号进行下一次脉冲信号的输出,有效的提高了单片机的使用效率。
本课题设计利用单片机技术,通过相应的软件编程和较简易的外围硬件电路来实现,其产生的脉冲信号干扰小,输出稳定,可靠性高,人机界面友好,操作简单方便,成本低,携带方便,扩展性强。
关键的是,脉冲信号频率、脉冲个数和脉冲占空比可调节,可通过键盘输入并由显示器显示出来。
本课题设计所要达到的指标要求:(1)脉冲信号频率0.1HZ到50KHZ可调并在液晶显示屏指定位置显示。
(2)脉冲信号个数0到9999可调并在液晶显示屏指定位置显示。
(3)脉冲信号占空比任意可调并在液晶屏显屏指定位置示出来。
关键词:单片机,脉冲信号,频率,脉冲个数,占空比Programmable pulse signal generator designABSTRACTThe programmable pulse signal generator based on single chip, through the 4x4 non-coding matrix keyboard inputing pulse signal parameters of frequency, duty cycle and pulse number, pulse signal is sent to meet the targets of signal processing chip.The related parameters are displayed on the setting position on the liquid crystal. The reset circuit by power-on reset and manual reset, ensure the SCM in power and run into dead circulation can be reset. Use in work mode 1 timer and counter output low frequency pulse signal, and in work mode 2 to timer output high frequency pulse signal ,automaticly repeat initialization, so as to make the frequency and duty ratio meet the requirements. Through the program design, the microcontroller each signal and then wait for the reset signal, the signal at the output of the pulse next time, effectively improve the efficiency in the use of single-chip microcomputer.The subject of the use of single-chip technology, which achieved through the corresponding software and the simple peripheral hardware circuit. The advantages of which are the small interference of the pulse signal, output stability, high reliability, friendly man-machine interface, easy operation, low cost, portability, scalability strong. The keys, pulse frequency, pulse number and pulse duty ratio are adjustable, which can be inputed through the keyboard and displayed through LCD.The requirements of this topic design:(1) The pulse signal frequency of 0.1HZ to 50KHZ is adjustable and can be displaied on the specify location in the LCD screen.(2) Pulse signal number of 0 to 9999 is adjusted and can be displaied on the specify location in the LCD screen.(3)Pulse duty ratio is adjustable and can be displaied on the specify location in the LCD screen.KEY WORDS: single chip computer,pulse,hardware circuit,pulse number,duty ratio目录前言 (1)第1章可编程脉冲发生器的相关模块 (4)1.1 AT89C51单片机 (4)1.1.1 AT89C51单片机的结构 (4)1.1.2 AT89C51单片机的引脚功能 (5)1.1.3 AT89C51单片机的中断系统 (9)1.1.4 AT89C51单片机的定时/计数器 (11)1.2 SMC 1602A LCD液晶显示屏 (12)第2章可编程脉冲信号发生器的硬件设计 (14)2.1 硬件系统的总体设计 (14)2.1.1 系统的总体框图 (14)2.1.2 原理阐述 (14)2.2 硬件系统各部分构成 (14)2.2.1电源电路 (14)2.2.2矩阵键盘 (15)2.2.3脉冲信号输出电路 (16)2.3系统电路原理图 (19)第3章可编程脉冲信号发生器的软件设计 (20)3.1矩阵键盘的程序设计 (20)3.2液晶屏显示的程序设计 (21)3.3脉冲生成的程序设计 (23)3.3程序流程图 (24)3.3.1主程序流程图 (24)3.3.2键盘分析子程序流程图 (25)3.3.3显示子程序流程图 (26)3.3.3数值处理子程序流程图 (27)3.3.3脉冲信号生成子程序流程图 (28)3.3.4源程序 (28)第4章可编程脉冲信号发生器的程序编译、调试及仿真 (29)4.1系统程序的编译 (29)4.2系统调试 (30)4.2.1硬件调试 (30)4.2.2软件调试 (30)4.3系统仿真 (32)4.3.1系统仿真图 (32)4.3.2系统的改善 (35)结论 (36)谢辞 (37)参考文献 (38)附录 (39)外文资料翻译 (57)前言信号发生器是一种能产生标准信号的电子仪器,是工业生产和电工、电子实验中经常使用的电子仪器之一。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
可编程脉冲信号发生器的设计摘要基于单片机的可编程脉冲信号发生器,通过4x4的非编码矩阵键盘键入脉冲信号的指标参数频率、占空比和脉冲个数,在单片机的控制处理下发出满足信号指标的脉冲信号,并在液晶显示屏的制定位置显示出相关参数。
复位电路采用上电复位和手动复位的复合复位方式,保证单片机在上电和程序运行进入死循环时,单片机均能正常复位。
利用在工作方式1下的定时器和计数输出低频脉冲信号,以及在工作方式2下能够自动重复赋初值的定时器输出高频脉冲信号,从而使频率和占空比满足指标要求。
通过程序设计,使单片机每次发出信号后等到重置信号进行下一次脉冲信号的输出,有效的提高了单片机的使用效率。
本课题设计利用单片机技术,通过相应的软件编程和较简易的外围硬件电路来实现,其产生的脉冲信号干扰小,输出稳定,可靠性高,人机界面友好,操作简单方便,成本低,携带方便,扩展性强。
关键的是,脉冲信号频率、脉冲个数和脉冲占空比可调节,可通过键盘输入并由显示器显示出来。
本课题设计所要达到的指标要求:(1)脉冲信号频率0.1HZ到50KHZ可调并在液晶显示屏指定位置显示。
(2)脉冲信号个数0到9999可调并在液晶显示屏指定位置显示。
(3)脉冲信号占空比任意可调并在液晶屏显屏指定位置示出来。
关键词:单片机,脉冲信号,频率,脉冲个数,占空比Programmable pulse signal generator designABSTRACTThe programmable pulse signal generator based on single chip, through the 4x4 non-coding matrix keyboard inputing pulse signal parameters of frequency, duty cycle and pulse number, pulse signal is sent to meet the targets of signal processing chip.The related parameters are displayed on the setting position on the liquid crystal. The reset circuit by power-on reset and manual reset, ensure the SCM in power and run into dead circulation can be reset. Use in work mode 1 timer and counter output low frequency pulse signal, and in work mode 2 to timer output high frequency pulse signal ,automaticly repeat initialization, so as to make the frequency and duty ratio meet the requirements. Through the program design, the microcontroller each signal and then wait for the reset signal, the signal at the output of the pulse next time, effectively improve the efficiency in the use of single-chip microcomputer.The subject of the use of single-chip technology, which achieved through the corresponding software and the simple peripheral hardware circuit. The advantages of which are the small interference of the pulse signal, output stability, high reliability, friendly man-machine interface, easy operation, low cost, portability, scalability strong. The keys, pulse frequency, pulse number and pulse duty ratio are adjustable, which can be inputed through the keyboard and displayed through LCD.The requirements of this topic design:(1) The pulse signal frequency of 0.1HZ to 50KHZ is adjustable and can be displaied on the specify location in the LCD screen.(2) Pulse signal number of 0 to 9999 is adjusted and can be displaied on the specify location in the LCD screen.(3)Pulse duty ratio is adjustable and can be displaied on the specifylocation in the LCD screen.KEY WORDS:single chip computer,pulse,hardware circuit,pulse number,duty ratio目录前言 (1)第1章可编程脉冲发生器的相关模块 (4)1.1 AT89C51单片机 (4)1.1.1 AT89C51单片机的结构 (4)1.1.2 AT89C51单片机的引脚功能 (5)1.1.3 AT89C51单片机的中断系统 (9)1.1.4 AT89C51单片机的定时/计数器 (11)1.2 SMC 1602A LCD液晶显示屏 (12)第2章可编程脉冲信号发生器的硬件设计 (14)2.1 硬件系统的总体设计 (14)2.1.1 系统的总体框图 (14)2.1.2 原理阐述 (14)2.2 硬件系统各部分构成 (14)2.2.1电源电路 (14)2.2.2矩阵键盘 (15)2.2.3脉冲信号输出电路 (16)2.3系统电路原理图 (19)第3章可编程脉冲信号发生器的软件设计 (20)3.1矩阵键盘的程序设计 (20)3.2液晶屏显示的程序设计 (21)3.3脉冲生成的程序设计 (23)3.3程序流程图 (24)3.3.1主程序流程图 (24)3.3.2键盘分析子程序流程图 (25)3.3.3显示子程序流程图 (26)3.3.3数值处理子程序流程图 (27)3.3.3脉冲信号生成子程序流程图 (28)3.3.4源程序 (28)第4章可编程脉冲信号发生器的程序编译、调试及仿真 (29)4.1系统程序的编译 (29)4.2系统调试 (30)4.2.1硬件调试 (30)4.2.2软件调试 (30)4.3系统仿真 (32)4.3.1系统仿真图 (32)4.3.2系统的改善 (35)结论 (36)辞 (37)参考文献 (38)附录 (39)外文资料翻译 (57)前言信号发生器是一种能产生标准信号的电子仪器,是工业生产和电工、电子实验中经常使用的电子仪器之一。
信号发生器的种类比较多,性能也千差万别,但它们都可以产生不同频率的调频波信号、调幅波、正弦波,以及各种锯齿波、三角波、方波和正负脉冲波等信号。
脉冲信号发生器作为一种常见的应用电子仪器设备,一般可以完全由硬件电路搭建而成,如采用555振荡电路发生方波的电路即是可行的方法之一,而不依靠单片机来实现。
但是这种电路存在波形质量差,控制难度大,调整围小,电路结构复杂以及体积大等缺点。
在科学研究和生产实践中,如工业生产过程控制、生物医学、地震模拟、机械振动等领域,也常常需要用到低频信号源。
而由硬件电路构成的低频信号发生器性能难以令人满意,而且由于低频信号源所需的RC要很大,体积大,漏电,大电阻、大电容在制作上亦有很大的难度,损耗显著更是其致命的弱点。
一旦工作需求功能有增加,则电路复杂程度也会大大增加。
在现代测试与控制中常常需要频率可调的脉冲发生器,利用单片机可编程定时/计数器即可实现。
脉冲信号发生器是电子测量中的一种重要仪器,随着微电子技术的高速发展,脉冲信号发生器向着集成化、数字化、智能化的方向发展。
利用单片机采用程序设计方法来产生信号,其频率底线很低,具有线路相对简单,结构紧凑,价格低廉,频率稳定度高,抗干扰能力强,用途广泛等优点,并且能够对波形进行细微调整。
改良波形,使其满足系统的要求。
只要对电路稍加修改,调整程序,即可完成功能的升级。
在数字电路或系统中常常需要各种脉冲波形,例如时钟脉冲、控制过程中的定时信号等。
单片机是实现各种控制策略和算法的载体。
在现代测试与控制中常常需要频率可调的脉冲信号发生器,利用单片机可编程定时/计数器可以实现。
本课题设计运用单片机技术,通过相应的软件编程和较简易的外围硬件电路设计来实现,和用分立式元件设计的脉冲发生器相比而言,其产生的脉冲信号干扰小,输出稳定,可靠性高,人机界面友好,操作简单方便,成本低,携带方便,扩展性强。
关键的是,脉冲信号频率、占空比和脉冲个数可以调节,可通过键盘输入并由显示器显示出来。
本课题运用单片机技术解决以下问题:1.清楚单片机的中断、定时/计数等常用功能,产生频率、个数、占空比可调整的脉冲信号。
2.画出以单片机为核心,以矩阵键盘为输入设备,以液晶显示屏为显示设备产生脉冲信号的硬件原理电路图。
3.画出相应的软件流程图,并写出键盘驱动、液晶显示屏驱动以及产生频率、个数、占空比可以调整的C语言源程序。
4.指标要求:(1)脉冲信号频率参数由键盘输入频率围0.1HZ到50KHZ可调并由液晶显示屏在指定位置显示。
(2) 脉冲信号个数参数由键盘输入脉冲个数0到9999可调并由液晶显示屏指定位置显示。
(3) 脉冲信号占空比参数由键盘输入任意占空比的脉冲信号,并由液晶屏指定位置显示。
5.用PROTEUS软件做出硬件电路仿真调试结果,并加以说明。
针对不同的问题,有针对性的采用不同的方法解决。
1.查阅形成脉冲信号的相关资料,结合所学51系列单片机的基础知识,用工作方式1下的定时加计数的方法实现对低频信号指标的控制,用自动重复赋初值的工作方式2下的定时器实现对高频信号指标的控制,用计数的方法实现对生发信号个数的控制。