电子科技大学 电子设计自动化技术期末试卷B答案

合集下载

2022年电子科技大学成都学院公共课《大学计算机基础》期末试卷B(有答案)

2022年电子科技大学成都学院公共课《大学计算机基础》期末试卷B(有答案)

2022年电子科技大学成都学院公共课《大学计算机基础》期末试卷B(有答案)一、单项选择题1、八进制数453转换成十进制数是()A.324B.267C.299D.2652、二进制数110010转换成十进制数是()A48 B 50 C52 D563、十六进制数3FC3转换为相应的二进制数是()A.11111111000011B.01111111000011C.01111111000001D.111111110000014、下面关于二进制的运算中,错误的是()A.10+01=11 B.11+01=111 C.11-01=10 D.10-01=015、对于已感染了病毒的U盘,最彻底的去除病毒的方法是()A.用酒精将U盘消毒B.放在高压锅里煮C.将感染病毒的程序删除D.对U盘进展格式化6、标准的ASCII码共有()A256个 B 255个 C127个 D 128个7、计算机系统由()组成。

A. 主机和系统软件B. 硬件系统和应用软件C. 硬件系统和软件系统D. 微处理器和软件系统8、对于鼠标操作,下列叙述不正确的是()A.双击速度可调B.可以双击C.可以三击D.左右键功能不可交换9、在Windows 7默认配置下,可以将硬盘选中文件永久删除的操作是()A.按"Delete"键B.按"Shift+Delete”组合键C.单击鼠标右键,在快捷菜单中选择“删除”命令D.单击当前资源管理器窗口的“文件”菜单,并选择“删除”命令10、在Windows 7“回收站”中,可以恢复()A.从硬盘中删除的文件和文件夹B.从光盘中刷除的文件和文件夹C.从软盘中删除的文件和文件夹D.剪切掉的文挡11、下列有关Windows 7磁盘管理的叙述中,正确的是()A.磁盘碎片整理程序可以将不连续的存储空间整理为连续的空间B.磁盘分区可以使用的驱动器号为A~Z共26个英文字母C.不能将本地计算机的D盘更改为新的盘符D.磁盘分区一旦被删除,其空间无法再次被使用12、在Windows 7的菜单中,若某命令项后面有黑三角“”,则表示该命令项()A.有下级子菜单B.将弹出对话框C.双击鼠标可直接执行D.单击鼠标可直接执行13、在Windows 7中,下列不能出现在文件名的字符是()A.:B.$C.空格D.+14、在Word 2010编辑文本时,可以在标尺上直接进行()A.段落首行缩进操作B.建立表格C.嵌入图片D.分栏操作15、在Word文档中插入符号时,首先要做的操作是()A.选择“符号”菜单B.将光标定位到插入点C.选取要插入的符号D.选择“插入”菜单16、在Word中.段落通常是()A.以句号结束B.以输人回车键结束C.以空格结束D.以分节符结束17、下列不属于Microsoft Office 2010软件包中的软件是()A.OutlookB.WordC.ExcelD.AutoCAD18、在Word 2010中,不能实现插入表格的方式是()A.快速表格B.文本转换为表格C.绘图工具制作表格D.Excel电子表格19、为Word文档设置保护口令时,最多可以输入的字符数是()A.8个B.11个C.15个D.18个20、当向Excel 2010工作表某单元格输入公式时,引用了单元格地址D$2,表明在公式中使用了D列2行单元格的数据,该单元格的引用称为()A. 交叉地址引用B. 混合地址引用C. 相对地址引用D. 绝对地址引用21、Excel 2010工作表的单元格C4中输入“=SUM(C1:C3)”,复制单元格C4至单元格D5,则D5中的内容为()A.=SUM(C1:C3)B.= SUM(D1:D3)C.=SUM(D2:D4)D.=SUM(C2:C4)22、在Excel 2010中,计算平均值的函数是()A.SUMB.MAXC.COUNTD.AVERAGE23、在Excel 2010中,应用“实心填充”条件格式时,用来代表单元格值大小的是()A.数据条的颜色深度B.数据条的面积C.数据条的长度D.数据条的高度24、下列有关Exce12010工作簿和工作表的叙述中,正确的是()A.每个Excel工作表由256×256个单元格组成B.工作簿是处理和存储数据的文件,最多可包含255个工作表C.启动Excel后,默认的工作簿名称为“Book”D.启动Excel后,会自动新建一个名为"sheet”的工作表25、在Excel 2010中、要将有数据且设登了格式的单元格恢复为默认格式的空单元格,应先选定该单元格,然后使用()A.Del 键B.“格式”菜单的“单元格”命令C.“编辑”菜单的“清除”命令D.“常用”工具栏的“剪切”命令26、在“幻灯片浏览”视图中不能进行的操作是()A.插入幻灯片B.删除幻灯片C.改变幻灯片的顺序D.编辑幻灯片中的文字27、在Access2010中允许使用多种数据类型,不包括()A.文本B.备注C.图片D.数字28、SMTP指的是()A.文件传输协议B.远程登录服务C.简单邮件传输协议D.域名服务协议29、系型数据库中,二维数据表的一行称为()A.字段B.数据C.记录D.数据视图30、TCP/IP有四个层次,不包括()A.应用层B.传输层C.物理层D.网络接口层二、填空题31、在Windows 7中,若要把一幅自己拍摄的_____选项。

电子科技大学22春“电力系统自动化技术”《电机与拖动基础》期末考试高频考点版(带答案)试卷号:5

电子科技大学22春“电力系统自动化技术”《电机与拖动基础》期末考试高频考点版(带答案)试卷号:5

电子科技大学22春“电力系统自动化技术”《电机与拖动基础》期末考试高频考点版(带答案)一.综合考核(共50题)1.一台48极的同步电动机,其同步转速为()r/min。

A.500B.62.5C.250D.125参考答案:D2.对感应电动机,进行恒转矩变频调速,基本上要求电源电压随频率正比变化。

()T.对F.错参考答案:T3.绕线式三相异步电动机负载不变,转子串电阻后,电动机各量的变化是()。

A.n↓Tmax不变B.n↓Tmax↓C.n↑Tmax↓D.n↑Tmax↑参考答案:A4.变压器原边接电源,铁心的励磁电流由()边提供。

A.原边B.副边参考答案:A小型变压器的绕组通常采用()。

A.连续式B.螺旋式C.纠结式D.圆筒式参考答案:D6.三相异步电动机的转速越高,其越差率()。

A.越稳定B.越小C.越大参考答案:B7.一台变压器原设计的频率为50HZ,现将它接到60HZ的电网上运行,当额定电压不变时,铁芯中的磁通将()。

A.增加B.不变C.减少D.为零不能运行参考答案:C8.直流电动机要实现反转时,要对调电枢电源极性,其励磁电源极性()A.同时对调B.变与不变无可以C.保持不变参考答案:C9.一台三相变压器,SN=20kVA,U1N/U2N=1000/400V,Y,y接法,当此变压器额定运行时,二次侧的每相电流值为()。

A.28.87AC.50A参考答案:A10.把运行中的异步电动机三相定子绕组出线端的任意两相与电源接线对调,电动机的运行状态变为()。

A.反转运行B.反接制动C.先是反接制动后是反转运行参考答案:C11.变压器的副边负荷电流产生的磁势和原边电流产生的磁势之间的关系:()。

A.方向相同,起到增磁作用B.方向相反,起到去磁作用参考答案:B12.变频调速过程中按U₁/f₁=常数的控制方式进行控制,可以实现恒功率调速。

()T.对F.错参考答案:F13.三相异步电动机气隙越大,空载励磁电流()。

电子科技大学2008年电子设计自动化技术期末试卷A答案

电子科技大学2008年电子设计自动化技术期末试卷A答案
第 1 页 共 8 页
二. 改错题(5 分×3 题,共 15 分) 以下的程序在编译时都出现了错误,请用波浪线划出错误的地方并修改错误。 1. (本题 5 分) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY Latch IS PORT ( INP: IN STD_LOGIC_VECTOR (7 downto 0); OUTP: OUT STD_LOGIC_VECTOR (7 downto 0); CLK: IN STD_LOGIC ); END Latch; ARCHITECTURE Latch OF Latch IS BEGIN PROCESS(CLK) BEGIN IF(CLK='1') THEN OUTP<=INP; END IF; wait on INP; END PROCESS; END Latch; 【参考答案】 :
波浪线标出的部分将报错,原因是对 std_logic 类型的数据进行“+” 、 “-”运算需要声明包集合 STD_LOGIC_unsigned,将 “use IEEE.STD_LOGIC_unsigned.all;”加在程序的第三行即可。
第 3 页 共 8 页
三. 综合题(本大题有 4 小题,共计 45 分) 1.(本题 9 分) 请将下面 VHDL 示例程序中的条件信号赋值语句等价代换为进程语句 结构,要求代换前后程序是完全等价的。 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY simp IS PORT(a, b,c : IN STD_LOGIC; y : OUT STD_LOGIC); END simp; ARCHITECTURE logic OF simp IS BEGIN y <= a WHEN c=’0’ ELSE b WHEN c=’1’ ELSE ‘X’; END logic; 【参考答案】 : 共 9 分,考核重点是敏感信号的选择,加粗部分占 5 分 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY simp_prc IS PORT(a,b,c : IN STD_LOGIC; y : OUT STD_LOGIC); END simp_prc; ARCHITECTURE logic OF simp_prc IS BEGIN PROCESS(a, b, c) BEGIN IF c=’0’ THEN y <= a; ELSIF c=’1’ THEN y <= b; ELSE y <= ‘X’; END IF; END PROCESS; END logic; 2.(本题 13 分)请编写一个共阳极数码管的显示译码程序。din 是 4 位二进制数据输 入,其输入范围从 0000~1001,a~g 是显示译码电路的输出端,与数码管的 LED 显 示段相对应。要求: (1)请写出完整的输入输出译码表(5 分)8 分)Top-Down 设计方法中逻辑综合的作用是什么? 【参考答案】 :

电子科技大学“机械设计制造及其自动化”《模拟电路基础》23秋期末试题库含答案

电子科技大学“机械设计制造及其自动化”《模拟电路基础》23秋期末试题库含答案

电子科技大学“机械设计制造及其自动化”《模拟电路基础》23秋期末试题库含答案第1卷一.综合考核(共20题)1.三极管的击穿电流ICEO是()。

A.基极开路时的集电极电流B.发射极开路时的集电极电流C.基极短路时的集电极电流D.发射极短路时的集电极电流2.差动放大电路依靠电路的对称性以及共模负反馈来抑制零点漂移。

()A.正确B.错误3.为了稳定静态工作点,应在放大电路中引入()。

A.电流负反馈B.电压负反馈C.直流负反馈D.交流负反馈4.电流求和负反馈使输人电阻减少;电压求和负反馈使输人电阻()。

A.增加B.不变C.减少5.6.功率放大器的效率是()。

A.平均输出功率与平均输入功率之比B.平均输出功率与晶体管平均消耗的功率比C.最大不失真平均输出功率与电源提供的平均功率总功率之比D.晶体管上平均消耗的功率与电源提供的平均功率总功率之比8.向放大器输入正弦电压。

当输出是非正弦的周期电压时,该放大器一定产生了()。

A.频率失真B.相位失真C.削波失真D.非线性失真9.在热激发条件下,少数价电子获得足够激发能,进入导带,产生()。

A.负离子B.空穴C.正离子D.电子-空六对10.要求得到一个由电流控制的电流源,应引入()负反馈。

A.电压串联B.电压并联C.电流串联D.电流并联11.半导体中的载流子为()。

A.电子B.空穴C.正离子D.电子和空穴12.产生零点漂移的原因主要是晶体管参数受温度的影响。

()A.正确B.错误13.在绝对零度时,本征半导体中()载流子。

A.有B.没有C.少数D.多数14.N沟道结型场效应管的夹断电压VP为()。

A.正值B.负值C.零15.差分放大电路端输出时,主要靠电路的对称性来抑制温漂。

()A.错误B.正确16.反馈放大电路由()电路和()网络组成。

A.基本放大B.反馈C.正反馈D.负反馈17.18.集成运放中间级的作用是()。

A.提高输人电阻B.提高共模抑制比C.提高放大倍数19.20.为了抑制直流放大器的温漂输出,应该采用()。

电子科技大学22春“电气工程及其自动化”《发电厂电气部分》期末考试高频考点版(带答案)试卷号:3

电子科技大学22春“电气工程及其自动化”《发电厂电气部分》期末考试高频考点版(带答案)试卷号:3

电子科技大学22春“电气工程及其自动化”《发电厂电气部分》期末考试高频考点版(带答案)一.综合考核(共50题)1.启动电源实质上也是一个()。

A.工作电源B.备用电源C.事故保安电源D.动力电源参考答案:B2.变压器油的颜色应是()。

A、暗红色B、透明微黄C、棕色参考答案:B3.不可修复元件的()简记MTTF。

A.可靠度B.不可靠度C.故障率D.平均无故障工作时间参考答案:D4.电线接地时,人体距离接地点越近,跨步电压越高,距离越远,跨步电压越低,一般情况下距离接地体多少,跨步电压可看成是零。

()A、10m以内B、20m以外C、30m以外5.元件从起始时刻t完好条件下,在时刻t以后单位时间里发生故障的次数,称为()。

A.故障率B.修复率C.平均修复时间D.平均运行周期参考答案:B6.发电机的发电量以千瓦时为计量单位,这是()的单位名称。

A、电功率B、电能C、电势D、电压参考答案:B7.能源按获得方法分为一次能源和()。

A.二次能源B.新能源C.非再生能源D.含能体能源参考答案:A8.被电击的人能否获救,关键在于()。

A.触电的方式B.人体电阻的大小C.触电电压的高低D.能否尽快脱离电源和施行紧急救护参考答案:D电流互感器正常工作中,当一次侧电流增加时,互感器的工作磁通()。

A.增加B.基本不变C.减小参考答案:B10.变压器油的颜色应是()。

A.暗红色B.透明微黄C.棕色参考答案:B11.根据电动机的运行状态,自启动分为了三类,其中不属于这三类的是()。

A.失压自启动B.空载自启动C.带负荷自启动D.保护自启动参考答案:D12.空气断路器熄弧能力较强,电流过零后,不易产生重燃。

但易产生()。

A.过电流B.电磁振荡C.过电压参考答案:C13.油浸变压器属于()级绝缘。

A.AB.BC.E参考答案:A14.下列()不是火力发电厂的组成部分。

A、燃烧系统B、汽水系统C、电气系统D、通信系统参考答案:D15.()需要附加一套励磁系统。

电子科技大学22春“机电一体化技术”《电子技术基础》期末考试高频考点版(带答案)试卷号:4

电子科技大学22春“机电一体化技术”《电子技术基础》期末考试高频考点版(带答案)试卷号:4

电子科技大学22春“机电一体化技术”《电子技术基础》期末考试高频考点版(带答案)一.综合考核(共50题)1.十进制数100对应的二进制数为1011110。

()A.正确B.错误参考答案:B2.一位8421BCD码计数器至少需要()个触发器。

A.5B.4C.3D.10参考答案:B3.基本的逻辑关系有与、或、非三种。

()A.正确B.错误参考答案:A4.对于正弦波振荡电路而言,只要不满足相位平衡条件,即使放大电路的放大倍数很大,它也不能产生正弦波振荡。

()A.正确B.错误参考答案:A5.温度升高时,杂质半导体中的多子浓度明显增加。

()参考答案:B6.单相桥式整流电路只利用了电源的半个周期。

()A.正确B.错误参考答案:B7.P型半导体的多数载流子为空穴,故它带正电。

()A.正确B.错误参考答案:B8.组合逻辑电路当前的输出状态由输入变量的组合状态来决定,与原来状态也有关系。

()A.正确B.错误参考答案:B9.运算放大器是一种间接耦合的多级放大器。

()A.正确B.错误参考答案:B10.三极管组成的放大电路在工作时,测得三极管上各电极对地直流电位分别为VE=3V,VB=3.7V,VC=3.3V,则此三极管已处于()。

A.放大区D.不确定参考答案:B11.逻辑运算中1+1=1。

()A.正确B.错误参考答案:A12.甲乙类功率放大电路中,放大管的导通角()。

A.等于360度B.等于180度C.小于180度D.大于180度,小于360度参考答案:D13.直流稳压电源中滤波电路的目的是()。

A.将交流变成直流B.将高频变成低频C.将交、直流混合量中的交流成分滤掉D.无影响参考答案:C14.在以下输入情况中,“或非”运算的结果为逻辑1的是()。

A.全部输入为0B.全部输入为1C.任一输入是0,其它输入为1D.任一输入是1,其它输入为0参考答案:A15.下式中与非门表达式为(),或门表达式为()。

A.Y=A+BB.Y=ABC.D.参考答案:DA16.为了改善整流电压的脉动程度,要在整流电路中加接()。

2022年电子科技大学(沙河校区)软件工程专业《操作系统》科目期末试卷B(有答案)

2022年电子科技大学(沙河校区)软件工程专业《操作系统》科目期末试卷B(有答案)

2022年电子科技大学(沙河校区)软件工程专业《操作系统》科目期末试卷B(有答案)一、选择题1、为了使多个进程能有效地同时处理输入和输出,最好使用()结构的缓冲技术。

A.缓冲池B.循环缓冲C.单缓冲D.双缓冲2、在采用SPOOLing技术的系统中,用户暂时未能打印的数据首先会被送到()存储起来。

A.磁盘固定区域B.内存固定区域C.终端D.打印机3、下列措施巾,能加快虚实地址转换的是()I.增大快表(TLB)容量II.让页表常驻内存III.增大交换区(swap)A.仅IB.仅IIC. 仅I、IID. 仅II、III4、产生内存抖动的主要原因是()A.内存空间太小B.CPU运行速度太慢C.CPU调度算法不合理D.页面置换算法不合理5、考虑页面替换算法,系统有m个页帧(Frame)供调度,初始时全空:引用串(Reference String)长度为p.包含了n个不同的页号,无论用什么算法,缺页次数不会少于()A.mB.pC.nD.min(m,n)6、操作系统提供给编程人员的接口是()。

A.库函数B.高级语言C.系统调用D.子程序7、计算机开机后,操作系统最终被加载到()。

A.BIOSB.ROMC.EPROMD.RAM8、在一个文件被用户进程首次打开的过程中,操作系统需做的是()A.将文件内容读到内存中B.将文件控制块读到内存中C.修改文件控制块中的读写权限D.将文件的数据缓冲区首指针返回给用户进程9、若文件f1的硬链接为f2,两个进程分别打开fl和f2,获得对应的文件描述符为fd1和fd2,则下列叙述中,止确的是()I.fl和f2的读写指针位置保持相同II.fl和f2共享同个内存索引节点III.fdl 和fd2分别指向各自的用户打开文件表中的一项,A.仅IIB. 仅II、IIIC.仪I、IID. I、II和II10、下面有关选择进程调度算法的准则,错误的是()A.尽量提高处理器利用率B.尽可能提高系统吞吐量C.适当增长进程在就绪队列中的等待时间D.尽快响应交互式用户的要求11、可以被多个进程在任意时刻共享的代码必须是()。

电子科技大学22春“机械设计制造及其自动化”《自动控制理论》期末考试高频考点版(带答案)试卷号:1

电子科技大学22春“机械设计制造及其自动化”《自动控制理论》期末考试高频考点版(带答案)试卷号:1

电子科技大学22春“机械设计制造及其自动化”《自动控制理论》期末考试高频考点版(带答案)一.综合考核(共50题)1.随动系统的校正分为:()A、串联校正B、并联校正C、混合校正D、局部校正参考答案:AB2.下面哪些指标属于过程控制综合指标:()A.静态偏差B.误差积分C.平方误差积分D.绝对误差积分参考答案:BCD3.H型可逆PWM变换器的控制方式分为:()A.双极式B.单极式C.多极式D.受控单极式参考答案:ABD4.闭环控制优点有()和()。

A.跟踪误差小B.结构简单C.抑制干扰能力强D.成本低5.下列器件属于脉宽调速系统的是:()A.GMB.PWMC.GABD.DLD参考答案:ABD6.常用控制电动机有:()A.直流伺服电动机B.直流步进电机C.永磁式直流力矩电动机D.直线异步电动机参考答案:ACD7.下列器件属于脉宽调速系统的是:()A、GMB、PWMC、GABD、DLD参考答案:ABD8.矢量控制基本思想是:按照()等效的原则,把异步电动机经坐标变换和磁场定向后等效成直流电动机,求得其控制量后反变换得到交流电动机的控制量。

A、磁场等效B、电场等效C、旋转磁场等效D、旋转电场等效参考答案:C直流电动机的额定功率是指电动机在额定状态下运行时电动机上的()。

A、输入功率B、消耗功率C、输出功率D、平均功率参考答案:C10.转差频率控制调速系统中的GF代表:()A.电流调节器B.函数发生器C.转速调节器D.极性鉴别器参考答案:B11.容量的形式包括:()A、电容B、气容C、热容D、压容参考答案:ABC12.根轨迹起点由系统的()决定。

A、开环极点B、开环零点C、闭环极点D、闭环零点参考答案:AA、开环极点B、开环零点C、闭环极点D、闭环零点参考答案:B14.H型可逆PWM变换器的控制方式分为:()A、双极式B、单极式C、多极式D、受控单极式参考答案:ABD15.系统的稳定性能指标是:()A.稳态误差B.信噪比C.增益D.反馈系数参考答案:A16.转差频率控制调速系统中的ACR代表:()A.电流调节器B.函数发生器C.转速调节器D.极性鉴别器参考答案:A17.设系统的幅频波特图如下,求其传递函数G(s)=()。

电子科技大学22春“机械设计制造及其自动化”《机电系统计算机控制技术》期末考试高频考点版(带答案)试

电子科技大学22春“机械设计制造及其自动化”《机电系统计算机控制技术》期末考试高频考点版(带答案)试

电子科技大学22春“机械设计制造及其自动化”《机电系统计算机控制技术》期末考试高频考点版(带答案)一.综合考核(共50题)1.PLC一般巡回扫描周期的时间为()ms。

A.100B.300C.100~300D.1000参考答案:C2.“机电一体化”与英文单词()一致。

A.MechanicsB.ElectronicsC.MechatronicsD.Electrics参考答案:C3.PLC采用的工作方式是()。

A.键盘扫描方式B.循环扫描方式C.以上都不对D.I/O扫描方式参考答案:B4.通常用差动变压器传感器测量()。

A.位移B.振动C.加速度D.厚度5.PLC采用的工作方式是()。

A.键盘扫描方式B.I/O扫描方式C.循环扫描方式D.以上都不对参考答案:C6.传感器可检测的物理量有()。

A.力B.温度C.湿度D.速度参考答案:ABCD7.控制系统的软件开发中常用设计方法有()等。

A.模块化设计B.中断控制和管理C.人机联系的管理D.软件狗技术参考答案:ABC8.应变片式传感器优点是:()A.精度高B.响应速度快C.使用方便D.体积小参考答案:ABCD机械设备的机械参数有()。

A.位移B.速度C.加速度D.阻尼参考答案:AB10.在电动机的继电器接触器控制电路中,热继电器的作用是()。

A.短路保护B.零压保护C.过载保护D.欠压保护参考答案:C11.无论动态显示还是静态显示都需要进行译码,即将()。

A.十进制数译成二进制数B.十进制数译成十六进制数C.十进制数译成ASDII码D.十进制数译成7段显示码参考答案:D12.8051单片机,若某位=1,其含义为()。

A.引脚接+5VB.引脚接地C.引脚接-5VD.引脚接+10V参考答案:A13.A.正确B.错误参考答案:A14.伺服控制系统一般包括控制器、被控对象、执行环节、比较环节和() 等个五部分。

A.转换电路B.检测环节C.换向结构D.存储电路参考答案:B15.专家控制系统大致可以分为()。

电子科技大学2008年电子设计自动化技术期末试卷B

电子科技大学2008年电子设计自动化技术期末试卷B

4.(本题 11 分)下图给出了一个涟波计数器的电路图,请用 VHDL 设计实现该电路。 (说明:图中的两个子电路分别代表非门和 DFF)
CLK
QS1 DQ
CLK
DQ CLK
QS2
Q2 Q1
第 8 页 共 8页
第 1 页 共 8页
学院
班次
姓名
学号
任课老师
………密………封………线………以………内………答………题………无………效……
3.(本题 8 分)信号赋值语句在什么情况下做并行语句?在什么情况下做顺序语句? 信号赋值符号和变量赋值符号分别是什么?两种赋值符号有什么区别?
4.(本题 8 分)利用 Active-HDL 软件工具对 VHDL 程序进行仿真的方法有哪三种? 简 述三种仿真方法的特点和应用场合。
第 5 页 共 8页
学院
班次
姓名
学号
任课老师
………密………封………线………以………内………答………题………无………效……
2.(本题 12 分)优先级编码器常用于中断的优先级控制。请用 VHDL 设计一个 8 位 输入,3 位编码输出的优先级编码器。该编码器的真值表如下所示(表中“x”表示 任意值,“Z”表示高阻态)。
1
1
1
1
1 01
x
0
1
1
1
1
1
1
1 10
0
1
1
1
1
1
1
1
1 11
x
x
x
x
x
x
x
x
Z ZZ
第 6 页 共 8页
学院
班次
姓名
学号
任课老师

电子科技大学22春“机械设计制造及其自动化”《工程测试与信号处理》期末考试高频考点版(带答案)试卷号

电子科技大学22春“机械设计制造及其自动化”《工程测试与信号处理》期末考试高频考点版(带答案)试卷号

电子科技大学22春“机械设计制造及其自动化”《工程测试与信号处理》期末考试高频考点版(带答案)一.综合考核(共50题)1.拾振器的作用是实现对被测系统的激励。

()A.正确B.错误参考答案:A2.压电式加速度传感器的工作频率应该()其固有频率。

A.远高于B.等于C.远低于D.以上都不对参考答案:C3.载送缓变信号的高频振荡波称为调制信号。

()A.正确B.错误参考答案:A4.随机信号能用精确的数学关系描述,也能用统计平均值来描述。

()A.正确B.错误参考答案:A5.频率低于20Hz的声音称为()。

B.特超声C.次声D.可听声参考答案:C6.下面不是周期信号频谱的基本特点的是()。

A.谐波性B.离散性C.收敛性D.发散性参考答案:D7.下列哪项是绝对温标?()A.华氏温标B.摄氏温标C.热力学温标D.动力学温标参考答案:C8.不能用确定函数关系描述的信号是()。

A.复杂的周期信号B.瞬变信号C.随机信号D.阶跃信号参考答案:C9.表示某一时刻的数据与另一时刻数据之间联系的紧密性的函数是()。

A.自相关函数B.联合概率密度函数C.相干函数参考答案:A10.下列物理量中可以用气敏传感器来测量的是()。

A.速度B.烟雾浓度C.湿度D.位移量参考答案:B11.下列哪项是声级计的校准方法()。

A.间接法B.置换法C.直接法D.比较法参考答案:B12.非周期信号的频谱是()。

A.连续的B.离散的C.连续又离散D.不确定参考答案:A13.非周期信号的频谱是()。

A.连续的B.连续又离散C.离散的D.不确定参考答案:A14.测试装置传递函数H(s)的分母与()有关。

A.输入量x(t)B.输入点的位置C.装置的结构D.输出量参考答案:C15.描述了信号现在值与未来值之间依赖关系的函数是()。

A.自相关函数B.联合概率密度函数C.相干函数D.互相关函数参考答案:A16.sin(t)δ(t)dt=()。

A.0B.1C.2D.sin(2)δ(t)参考答案:A17.下列哪项不是噪声测量应注意的问题()。

电子设计自动化(eda)期末考试试题及答案

电子设计自动化(eda)期末考试试题及答案
(3)MAX7000的一个LAB由16个宏单元的阵列组成。( )
(4)FPGA是基于查找表结构的器件.( )
(5)在QUARTUSⅡ中,工作文件夹允许是根目录。( )
(6)STD_LOGIC中,‘0’,‘1',‘Z',‘W’可以综合。( )
(7)在case语句中允许有相同选择值的条件句出现。( )
(8)在vhdl中常量具有全局性。( )
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164。ALL;
USE IEEE.STD_LOGIC_UNSIGNED。ALL;
ENTITY ADDER16 IS
PORT ( CIN : IN STD_LOGIC ;
A : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
二、简答题(15分)
1、简述fpga/cpld的设计流程。(5分)
设计输入(1分)
HDL综合(1分)
布线布局(适配)(1分)
仿真(1分)
下载和硬件测试(1分)
2、在vhdl中端口模式有那几种?并说明数据流动方向。(4分)
“IN”单向只读模式,数据只能通过此端口被读入实体(1分)
“OUT”单向输出模式,数据通过此端口向实体外流出(1分)
THEN Q1 〈= D ;
END IF;
END PROCESS ;
Q <= Q1 ;
END bhv;
(2)LIBRARY IEEE ;
USE IEEE.STD_LOGIC_1164.ALL ;
ENTITY DFF1 IS
PORT (CLK : IN STD_LOGIC ;
D : IN STD_LOGIC ;

2023年杭州电子科技大学软件工程专业《操作系统》科目期末试卷B(含答案)

2023年杭州电子科技大学软件工程专业《操作系统》科目期末试卷B(含答案)

2023 年杭州电子科技大学软件工程专业《操作系统》科目期末试卷B〔有答案〕一、选择题1、以下关于设备驱动程序的表达中,正确的选项是〔〕。

I.与设备相关的中断处理过程是由设备驱动程序完成的II.由于驱动程序与I/O 设备〔硬件〕严密相关,故必需全部用汇编语言书写III.磁盘的调度程序是在设备驱动程序中运行的IV.一个计算机系统配置了2 台同类绘图机和3 台同类打印机,为了正确驱动这些设备,系统应当供给5 个设备驱动程序A. 仅I、IIIB. 仅II、IIIC.仅I、III,IVD. I、II、III、IV2、为了使多个进程能有效地同时处理输入和输出,最好使用〔〕构造的缓冲技术。

A.缓冲池B.循环缓冲C.单缓冲D.双缓冲3、要保证一个程序在主存中被转变了存放位置后仍能正确地执行,则对主存空间应承受〔〕技术。

A.静态重定位B.动态重定位C.动态安排D.静态安排4、假设一个“按需调页”虚拟存储空间,页表由存放器保存。

在存在空闲页帧的条件,下,处理一次缺页的时间是8ms。

假设没有空闲页面,但待换出页面并未更改,处理一次缺页的时间也是8ms。

假设待换出页面已被更改,则需要20ms。

访问一次内存的时间是100ns。

假设70%的待换出页面已被更改,请问缺页率不超过〔〕才能保证有效访问时间小于或等于200ns?A.0.6×10-4B.1.2×10-4C.0.6×10-5D.1.2×10-55、不会产生内部碎片的存储治理是〔〕。

A.分页式存储治理B.分段式存储治理C.固定分区式存储治理D.段页式存储治理6、以下指令中,不能在用户态执行的是〔〕A.trap 指令B.跳转指令C.压栈指令D.关中断指令7、处理外部中断时,应当山操作系统保存的是〔〕A.程序计数器〔PC〕的内容B.通用存放器的内容C.快表〔TLB〕中的内容D.Cache 中的内容8、以下关于索引表的表达,〔〕是正确的。

电子科技大学22春“机械设计制造及其自动化”《机电驱动技术》期末考试高频考点版(带答案)试卷号2

电子科技大学22春“机械设计制造及其自动化”《机电驱动技术》期末考试高频考点版(带答案)试卷号2

电子科技大学22春“机械设计制造及其自动化”《机电驱动技术》期末考试高频考点版(带答案)一.综合考核(共50题)1.电气设备安装图表示各电气设备之间实际连线情况(同一电器各部分要画在一起)。

()A.正确B.错误参考答案:B2.交流同步电机的速调方式是变极调速。

()A.正确B.错误参考答案:B3.气动系统与液动系统中,不同的部件是下列哪个:()。

A、管路B、储气罐C、缸D、阀参考答案:B4.直流伺服电机的()是定子控制的弱磁调速方式,适用于电磁式直流伺服电机和额定转速以上调速,随着转速增高,磁通下降,转矩下降,实现恒功率调速。

A、恒频率调速B、恒电流调速C、恒功率调速D、恒电阻调速参考答案:C5.下列是直流电机的英文名的是:()。

A、Direct Current MotorB、Alternating Current MotorC、Linear MotorD、Special Motor参考答案:A6.PI调节器综合了比例控制和积分控制的优点,最终达到转速稳态无静差。

()A.正确B.错误参考答案:A7.关于定压式节流调速回路进口油路节流调速的特点说法最为准确的一项是:()。

A、有背压、平稳性差B、无背压、平稳性好C、无背压、平稳性差D、有背压、平稳性好参考答案:C8.直流伺服电机中,定子的另一个叫法是:()A、电极B、流机C、磁极D、以上均不对参考答案:C9.步进电机不失步的极限转矩叫做:()。

A、起动频率B、动转矩C、静转矩D、起动转矩参考答案:B10.下列选项中属于调速回路中机械特性的是()。

A、回路效率B、速度刚性C、功率损失及分配D、调速范围参考答案:B11.理想液体有具有如下哪些特点?()A.高黏度B.无黏度C.压缩D.不可压缩参考答案:BD12.右手定则中,其公式E=Keφn,其中φ表示的物理含义是:()。

A、电流B、电压C、磁通量D、感应电动势参考答案:C13.直流伺服电动机起动时需要克服一定的()。

09级《电子设计自动化技术》考试A卷参考答案

09级《电子设计自动化技术》考试A卷参考答案

五. 用 VHDL 设计一个分频电路,要求将 20MHZ 的方波信号变为 100KHZ 的方波 信号。 (13 分)
答: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; (2 分) ENTITY JYFP IS PORT( CLK_IN,RESET:IN STD_LOGIC; CLK_OUT:OUT STD_LOGIC ); END ENTITY JYFP; (3 分) ARCHITECTURE ART OF JYFP IS CONSTANT DIVIDE_PERIOD:integer :=50; (2 分) --将 20MHZ 的信号变为 100KHZ,分频常数为 20000000/100000=200 BEGIN DIVIDE_CLK:PROCESS(CLK_IN,RESET) IS VARIABLE CNT:INTEGER RANGE 0 TO 49; BEGIN IF (RESET = '1') THEN CNT := 0; CLK_OUT <= '0'; ELSIF RISING_EDGE(CLK_IN) THEN IF (CNT < (DIVIDE_PERIOD/2) THEN CLK_OUT <= '1'; --前 25 个周期输出为高电平 CNT := CNT + 1; ELSIF (CNT < (DIVIDE_PERIOD-1)) THEN CLK_OUT <= '0'; --后 25 个周期输出为低电平 CNT := CNT + 1; ELSE CNT := 0; END IF; END IF; END PROCESS DIVIDE_CLK; END ARCHITECTURE ART; (6 分)

电子科技大学22春“机械设计制造及其自动化”《理论力学》期末考试高频考点版(带答案)试卷号:4

电子科技大学22春“机械设计制造及其自动化”《理论力学》期末考试高频考点版(带答案)试卷号:4

电子科技大学22春“机械设计制造及其自动化”《理论力学》期末考试高频考点版(带答案)一.综合考核(共50题)1.空间平行力系简化的结果可以是()。

A.平衡B.合力偶C.合力D.力螺旋参考答案:ABCD2.刚体作平动时,刚体内各点的轨迹()。

A.可能是直线,也可能是曲线B.一定是直线C.一定是曲线参考答案:A3.动量定理适用于()。

A.相对于地球作匀速直线运动的坐标系B.相对于地球作匀角速转动的坐标系C.惯性坐标系参考答案:C4.力对于一点的矩不因力沿其作用线移动而改变。

()A.正确B.错误参考答案:A质点系动量守恒的条件是()。

A.作用于质点系的内力主矢恒等于零B.作用于质点系的外力主矢恒等于零C.作用于质点系的主动力主矢恒等于零参考答案:B6.质点系中各质点都处于静止时,质点系的动量为零。

于是可知如果质点系的动量为零,则质点系中各质点必都静止。

()A.正确B.错误参考答案:B7.刚体平面运动的瞬时平动,其特点是()。

A.该瞬时图形上各点速度相同B.该瞬时图形上各点速度和加速度均相同C.该瞬时图形上各点加速度相同参考答案:A8.质点系动量的微分等于()。

A.外力的主矢B.外力元冲量的矢量和C.内力元冲量的矢量和参考答案:B9.外力偶不能改变质心的运动。

()A.正确B.错误参考答案:A10.将质量为M的质点以速度v铅直上抛,则质点从开始上抛到再回到远处的过程中,质点动量的改变量为()。

A.方向铅垂向下B.方向铅垂向上C.改变量的大小为mvD.改变量的大小为2mv参考答案:AD11.当平面力系的主矢等于零,主矩不等于零时,此力系合成为()。

A.合力偶B.合力C.力螺旋参考答案:A12.车厢内悬挂一质量为m的小球,当车厢以加速度a₀在水平直线轨道上行驶时,小球相对于车厢处于静止状态,此时悬线与铅垂线成B角,此时B角等于()。

A.tanB=a₀/gB.tanB=a₀/2gC.tanB=2a₀/g参考答案:A13.定轴转动的刚体对转轴的动量矩等于刚体对该轴的转动惯量与角加速度之积。

电子科技大学2007年电子设计自动化技术期末试卷A

电子科技大学2007年电子设计自动化技术期末试卷A

………密………封………线………以………内………答………题………无………效……电子科技大学二零零六至二零零七学年第一学期期末考试《大规模数字集成电路设计》课程考试题 A 卷( 120 分钟)卷面总分:80分考试形式:闭卷考试日期 200 年月日课程成绩构成:平时10分,期中0分,实验10分,期末80分一二三四五六七八九十合计一. 名词解释(2分×6题)要求给出以下缩写的完整英文。

若仅给出中文解释的,只能酌情给分。

1.EDA2.FPGA3.ASIC4.SOC5.DSP6.VHDL………密………封………线………以………内………答………题………无………效……二. 填空题(每空1分,共18分)1.VHDL程序的5个组成部分分别为:___________、___________、___________、___________、___________。

2.VHDL中操作符“&”的具体名称是:________________,它的基本功能是:__________________________________________________________。

3.VHDL描述行为的语句中有并行语句和顺序语句之分。

只能当顺序语句使用的描述语句有很多,试列举出其中三种:___________、___________、___________。

4.Active-HDL软件工具编程设计录入方法最基本的三种:_______________、_______________、_______________。

5.VHDL的并行信号赋值语句,除了常见的一般信号赋值语句(如:C<=A and B;)外,还有两种形式,它们分别是:_________________、_________________。

6.VHDL结构描述是实体构造的层次化、结构化的表现。

试列举出其中两种描述结构的语句_______________________、 _______________________。

电子科技大学22春“机械设计制造及其自动化”《模拟电路基础》期末考试高频考点版(带答案)试卷号3

电子科技大学22春“机械设计制造及其自动化”《模拟电路基础》期末考试高频考点版(带答案)试卷号3

电子科技大学22春“机械设计制造及其自动化”《模拟电路基础》期末考试高频考点版(带答案)一.综合考核(共50题)1.当加在二极管上的电压增加10%时,流过二极管的电流()。

A.增加10%B.增加大于10%C.增加小于10%D.几乎不增加参考答案:B2.功率放大电路中的转换效率是指()。

A.输出功率与晶体管所消耗的功率之比B.最大输出功率与电流提供的平均功率之比C.晶体管所消耗的功率与电流提供的平均功率之比D.输出功率与输入功率之比参考答案:B3.集成运放中间级的作用是()。

A.提高输人电阻B.提高共模抑制比C.提高放大倍数参考答案:C4.负反馈放大电路产生自激振荡的条件是()。

A.AF=0B.AF=1C.AF=∞D.AF=-1参考答案:D5.N型半导体中的多子是()。

A.电子B.空穴C.正离子D.负离子参考答案:A6.差动放大电路依靠电路的对称性以及共模负反馈来抑制零点漂移。

()A.正确B.错误参考答案:A7.BJT管组成的放大电路产生的非线性是指()。

A.半导体器件的非线性所致,其输出波形中含有新的频率成分B.截止失真、饱和失真、过流失真C.截止失真、饱和失真、过流失真、交越失真D.截止失真、饱和失真、交越失真参考答案:A8.所谓效率是指()。

A.输出功率与输入功率之比B.输出功率与晶体管上消耗的功率之比C.输出功率与电源提供的功率之比D.最大不失真输出功率与电源提供的功率之比参考答案:B9.两个放大器A1和A2分别对同一电压信号进行放大。

当输出端开路时,A1和A2的输出电压相同(|vo1|=|vo2|);接入相同的负载电阻后,|vo1|>|vo2|。

由此可知,A1比A2的()。

A.输出电阻大B.输出电阻小C.输入电阻大D.输入电阻小参考答案:B10.某只工作在放大状态的三极管,测得其三个管脚对地的电位分别是6V、5.3V、1V。

由此判定出该管是()。

A.NPN锗管B.PNP锗管C.NPN硅管D.PNP硅管参考答案:D11.反馈放大电路由()电路和()网络组成。

电子科技大学22春“机电一体化技术”《机械电子工程设计》期末考试高频考点版(带答案)试卷号5

电子科技大学22春“机电一体化技术”《机械电子工程设计》期末考试高频考点版(带答案)试卷号5

电子科技大学22春“机电一体化技术”《机械电子工程设计》期末考试高频考点版(带答案)一.综合考核(共50题)1.直流脉宽调速是利用脉宽调制变换器,将直流电源电压变换成较高频率的方波电压,在控制该方波脉冲宽度来改变加在电机电枢上平均电压进行调速的,这句话正确与否()A、无法判断B、其他C、不正确D、正确参考答案:D2.下列哪一项属于机电系统的机电系统的基本特性?()A.部分性B.综合性C.环境适应性D.个体性参考答案:C3.在磁场激励下能够执行微动操作的是()微动机构。

A.磁致伸缩式B.热变形式C.手动机械式参考答案:A4.磁头驱动定位系统的控制中,粗调是通过()控制实现的。

A.速度控制B.力矩控制C.位置控制参考答案:A5.录像机种的DD主导轴是指由()直接驱动磁鼓进行工作。

A.走带机构B.磁带C.发动机参考答案:C6.按激磁方式分,直流伺服电机分为永磁式和电磁式,这句话正确与否()A、无法判断B、其他C、不正确D、正确参考答案:D7.末端执行器中,提供机器人完成某种特定功能操作的是()。

A.特种末端执行器B.灵巧手C.机械夹持器参考答案:A8.伺服传动系统的刚度反映出伺服机械系统抵抗()的能力A、断裂B、变形C、疲劳D、磨损参考答案:B9.数字检测系统中,起着提高分辨率的电路是()。

B.整形电路C.放大电路参考答案:A10.如果把人看作系统或产品,头脑类似于机电产品中实现的()功能。

A.运动B.计测C.控制参考答案:C11.机电系统的四个设计阶段的第四个阶段是()。

A.部件子系统设计B.样机试制C.机电系统的总体设计D.小批量投放市场参考答案:D12.失动量的大小影响开环系统的稳定性,这句话正确与否()A、无法判断B、其他C、不正确D、正确参考答案:C13.工业机器人的组成部件中,实现操作功能的是()。

A.腕部B.机身C.末端执行器参考答案:C14.操作者与控制微机之间进行信息交换的接口是()A.输出接口B.机电接口C.人机接口参考答案:C15.被控对象模型辨识方法中,哪种在输入端施加的是正弦信号?()A.频域法B.统计法C.时域法参考答案:A16.机电模拟法从机电系统的()出发,将复杂的机械网络转化为与之等效的电网络,运用成熟的电学分析和实验的途径进行机械系统的性能分析A、振动特性B、稳定性C、相似性D、动态特性和静态特性参考答案:C17.对于可修复的系统,其失效称为()。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《大规模数字集成电路设计》试卷B标准答案与评分细则(卷面总分:80分)一. 名词解释(2分×6题)(评分标准:给出正确英文的2分/题,仅给中文解释1分/题)1.EDA:Electronic Design Automation2.IP:Intellectual Property3.CPLD:Complex Programmable Logic Device4.ASIC:Application Specific Integrated Circuit5.MCU:Micro Control Unit6.FSM:Finite State Machine二. 填空题(每空1分,共18分)(评分标准:填写正确 1分/空)1.VHDL用Active-HDL对 HDL程序进行仿真时,常用的三种仿真方法:用图形化界面加激励(或:手动加测试激励)、编写测试平台文件(或:编写TestBench)、编写宏文件(编写*.do文件)。

2.VHDL程序主要有三种描述方式:行为描述方式、RTL描述方式、结构描述方式。

3.VHDL程序中数值的载体称为对象。

VHDL中有四种对象,分别是:常量(CONSTANT)、变量(V ARIABLE)、信号(SIGNAL)、文件(FILE)。

4.VHDL的并行信号赋值语句,除了常见的一般信号赋值语句(如:C<=A and B;)外,还有两种形式,它们分别是:条件信号赋值语句(或:条件型)、选择信号赋值予局(或:选择型)。

5.除了Δ延迟外,VHDL还支持两类性质的延迟,它们分别是:_惯性延迟(INERTIAL)、传播延迟(TRANSPORT)。

6.VHDL结构描述是实体构造的层次化、结构化的表现。

试列举出其中两种描述结构的语句COMPNENT语句(或:元件语句)、GENERATE语句(或:生成语句)。

(也可填:GENERIC语句/参数说明语句、端口映射语句等)7.IP核可以分为三种,即:软核、固核、硬核。

三. 简答题(2分×4题)(评分标准:得分点分细目标在答案正文内。

)1.什么是逻辑综合?它在EDA技术中的意义?【参考答案】:逻辑综合是一个把高层次的描述转换为低层次描述的过程,它是利用逻辑综合工具软件,将RTL描述的VHDL源程序转换成用基本逻辑元件表示的逻辑网表。

1分逻辑综合是EDA的Top-Down设计的一个重要环节,有了逻辑综合技术才可能使HDL源程序描述的行为变成真正的物理逻辑。

1分2.简述Top-Down设计方法及其基本步骤。

【参考答案】:所谓Top-Down的设计过程是指从系统硬件的高层次抽象描述向最底层物理描述的一系列转换过程1分。

具体讲这一过程由功能级、行为级描述开始;寄存器传输(RTL)级描述为第一个中间结果;再将RTL级描述由逻辑综合得到网表(Net-list)或电路图;由网表即可自动生成现场可编程门阵列(FPGA)/复杂可编程逻辑器件(CPLD)或专用集成电路(ASIC),从而得到电路与系统的物理实现1分。

3.请从申明格式、赋值符号、赋值生效时间、作用范围等方面对信号和变量进行比较分析。

【参考答案】:①申明时关键字不一样,变量为:V ARIABLE;信号为:SIGNAL。

但申明时赋初值均用“:=”符号。

0.5分②赋值符号不同:信号赋值用“﹤=”;变量赋值用“:=”。

0.5分③赋值生效时间:信号赋值△延时后生效;变量赋值立即生效。

0.5分④声明引用范围:信号在构造体内(进程外)申明,整个构造体内有效;变量主要在进程内申明,只在进程内有效。

0.5分4.VHDL程序的组成部分包含哪些内容?其中哪几部分是VHDL程序必不可少的?【参考答案】:VHDL程序的组成部分包含:实体(Entity)、构造体(Architecture)、配置(Configuration)、包集合(Package)、库(Library)。

1分其中,实体(Entity)、构造体(Architecture)是VHDL 程序必不可少的。

1分四. 判断改错题(1分×6题)(评分标准:给出正确答案1分/题;答案基本正确0.5分/题。

)1.已知A和Q均为BIT类型的信号,请判断下面的程序片断:ARCHITECTURE test OF test ISBEGINISCASEAWHEN '0' => Q <= '1' ;WHEN '1' => Q <= '0' ;END CASE ;END test ;【参考答案】:CASE语句应该存在于进程PROCESS内。

2.已知start为STD_LOGIC类型的信号,sum是INTEGER类型的信号,请判断下面的程序片断:PROCESS (start)BEGINFOR i IN 1 TO 9 LOOPsum := sum + i ;END LOOP ;END PROCESS ;【参考答案】:sum是信号,其赋值符号应该由“:=”改为“<=”。

3.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片断:ARCHITECTURE test OF test ISBEGINSIGNAL B :STD_LOGIC ;Q <= B ;END test ;【参考答案】:信号SIGNAL的申明语句应该放在BEGIN语句之前。

4.已知A和B均为STD_LOGIC类型的信号,请判断下面的语句:A <= '0' ;B <= 'x' ;【参考答案】:不定态符号应该由小写的‘x’改为大写的‘X’。

5.已知A为INTEGER类型的信号,B为STD_LOGIC类型的信号,请判断下面的程序片断:ARCHITECTURE test OF test ISBEGINB <= A ;END test ;【参考答案】:A和B的数据类型不一致,不能相互赋值。

6.已知sel是STD_LOGIC_VECTOR(1 DOWNTO 0)类型信号,而a、b、c、d、q均为STD_LOGIC类型信号,请判断下面给出的CASE语句:CASE sel ISWHEN “00” => q <= a ;WHEN “01” => q <= b ;WHEN “10” => q <= c ;WHEN “11” => q <= d ;END CASE ;【参考答案】:CASE语句缺“WHEN OTHERS”语句。

五. 编程题(共计36分)(评分标准:得分点分细目标在答案正文。

)1.(本题6分)请用VHDL设计一个三输入或非门。

【参考答案】:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;--1分ENTITY test1 IS --2分PORT(A, B, C : IN STD_LOGIC ;Q : OUT STD_LOGIC ) ;END test1 ;ARCHITECTURE test1 OF test1 IS --1分BEGINQ <= not(A or B or C);--2分END test1 ;2.(本题7分)请用VHDL设计一个二选一的选择器。

要求该选择器的被选择对象和输出结果都是4位二进制码数据。

【参考答案】:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; --1分ENTITY test2 IS --1分PORT(sel : IN STD_LOGIC;A, B : IN STD_LOGIC_VECTOR(3 downto 0) ; --1分Q : OUT STD_LOGIC_VECTOR(3 downto 0) ) ;END test2;ARCHITECTURE test2 OF test2 IS --1分BEGINPROCESS(A, B, sel )BEGINCASE sel IS --2分WHEN '1' => Q <= A ;WHEN OTHERS => Q <= B ; --1分END CASE ;END PROCESS ;END test2 ;3.(本题6分)下图给出了一个电路的模块框图。

请参考给出的模块框图编写该电路的顶层VHDL程序的实体。

【参考答案】:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; --1分ENTITY test3 IS --1分PORT(F_INPUT, F_PATTERN, RESET, START : IN STD_LOGIC; --2分LED_A,LED_B,LED_C,LED_D : OUT STD_LOGIC_VECTOR(6 downto 0)); --2分END test3;4.(本题8分)请用VHDL设计一个对时钟下降沿敏感的带异步复位的十进制加一计数器。

【参考答案】:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; --1分ENTITY test2 IS --1分PORT(clk,clr : IN STD_LOGIC;Q : OUT STD_LOGIC_VECTOR(3 downto 0));END test2;ARCHITECTURE test2 OF test2 IS --1分SIGNAL count_4 : STD_LOGIC_VECTOR(3 downto 0);BEGINQ<=count_4;PROCESS(clk,clr) --1分 BEGINIF(clr='1') THEN --1分 count_4<="0000";ELSIF (clk' EVENT AND clk='0') THEN --1分IF(count_4="1001")THEN --1分 count_4<="0000";ELSEcount_4<=count_4 + 1; --1分END IF;ENDIF;PROCESS;ENDEND test2;5.(本题9分)在工程设计实践中,扫描思想的应用较为广泛。

扫描的应用不仅能减小总电流从而降低功耗,而且可以大大节省芯片的引脚数量。

请参考下图给出的仿真波形(均为周期信号)编写扫描信号产生模块的VHDL程序,要求该扫描信号产生模块具有同步复位能力。

CLKS (0)S (1)S (2)S (3)S (4)S (5)【参考答案】:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; --1分ENTITY test5 is --1分PORT(clr,CLK : IN STD_LOGIC;S : OUT STD_LOGIC_VECTOR(5 downto 0));END test5;ARCHITECTURE test5 OF test5 IS --1分SIGNAL sel : STD_LOGIC_VECTOR(2 downto 0);BEGINPROCESS(clk)BEGINIF (clk' EVENT AND clk='0') THEN --1分IF(clr='1') THEN --1分sel <= "111";ELSEsel <= sel + 1; --1分END IF;IF;ENDPROCESS;ENDS <= "111110" WHEN sel="000" ELSE --2分ELSE"111101"sel="001"WHENsel="010"ELSEWHEN"111011"ELSEsel="011"WHEN"110111"ELSEsel="100"WHEN"101111"ELSEsel="101"WHEN"011111""111111" ; --1分END test5;。

相关文档
最新文档