数电课程设计-数字电子钟
数字电路课程设计——电子钟
电气工程学院数字电子电路课程设计报告书姓名:班级:学号:组员:完成日期:分数:设计过程(四)设计方案使用四个十进制计数器(74160N)设计成两个60进制计数器,分别表示“分”和“秒”。
再用两个十进制计数器(74160N)设计成一个24进制计数器,表示“时”。
用LED 7段数码显示器(DCD_HEX)分别显示“时”和“分”,指示灯(PROB_RED)闪烁来表示“秒”。
电路结构图:二.上机设计与仿真结果1.十进制计数器(74160N):74160N是同步十进制计数器,计数状态0000~1001共10个,从0000开始计数,直到第九个计数脉冲为止,重新开始计数,当EP=ET=1,RD'=LD'=0时,电路工作在工作状态,从0000开始计数,连续输入10个计数脉冲。
自动电子钟24进制60进制60进制时模块分模块秒模块时显示分显示秒闪烁设计过程2.上机设计电路图:60进制计数器U1674160NQA14QB13QC12QD11RCO15A3B4C5D6ENP7ENT10~LOAD9~CLR1CLK2U1774160NQA14QB13QC12QD11RCO15A3B4C5D6ENP7ENT10~LOAD9~CLR1CLK2U18A4012BP_5VVCC5V24十进制计数器设计过程3.仿真结果:三.软件实验方案及实验结果1.自动电子钟整体设计十进制计数器(74160N)采用整体置数方式(输入端整体置0)设计成60进制、60进制、24进制,分别表示分、秒、时;秒的CLK脉冲信号源于数字信号发生器(XFG1),分的脉冲信号CLK源于秒的进位输出信号,时的脉冲信号CLK源于进位输出信号;“时”“分”计数器的输出状态用LED 七段显示器(DCD_HEX)显示,“秒”由指示灯的闪烁来表示;计数满24 小时则整体置零,重新计数。
2.自动电子钟各模块的实现(1)时模块两个十进制计数器(74160N)通过整体置数法设计成24进制计数器,计数状态为00000000~00100011,即0~23,EP=ET=RD'=LD'=1时,计数器开始计数,累加24小时后从00000000重新计数,当RD'=1,LD'=时的进位输出信号时,计数器进行整体置数,置为00000000重新计数,仿真结果如图所示:设计过程(2)分模块两个十进制计数器(74160N)通过整体置数法设计成60进制计数器,计数状态为00000000~01011001,即0~59,EP=ET=RD'=LD'=1时,计数器开始计数,每累加60分发送一个“时脉冲”信号,重新从00000000开始计数,脉冲信号源为秒的进位输出信号,当RD'=1,LD'=分的进位输出信号时,计数器进行整体置数,置为00000000重新计数,仿真结果如图所示:设计过程(3)秒模块两个十进制计数器(74160N)通过整体置数法设计成60进制计数器,计数状态为00000000~01011001,即0~59,EP=ET=RD'=LD'=1时,计数器开始计数,每累加60秒发送一个“分脉冲”信号,重新从00000000开始计数,脉冲信号源为数字信号发生器(XFG1),当RD'=1,LD'=秒的进位输出信号时,计数器进行整体置数,置为00000000重新计数。
数字电子钟的课程设计
数字电子钟的课程设计一、课程目标知识目标:1. 学生能理解数字电子时钟的基本原理,掌握计时器的基础知识。
2. 学生能描述数字电子时钟的组成部分,包括时钟电路、计数器、显示装置等。
3. 学生能解释数字电子时钟中二进制数与十进制数之间的转换关系。
技能目标:1. 学生能够运用所学知识,设计简单的数字电子时钟电路。
2. 学生能够通过实验操作,完成数字电子时钟的组装和调试。
3. 学生能够利用计数器等电子元件解决实际问题,培养动手操作能力和团队协作能力。
情感态度价值观目标:1. 学生在课程学习中,培养对电子技术的兴趣,激发创新精神。
2. 学生通过实践操作,体会团队合作的重要性,增强沟通与协作能力。
3. 学生能够认识到科技发展对社会生活的积极影响,提高社会责任感和使命感。
分析课程性质、学生特点和教学要求,本课程目标注重理论与实践相结合,以培养学生的动手操作能力和创新能力为核心。
课程目标具体、可衡量,便于后续教学设计和评估。
通过本课程的学习,学生能够掌握数字电子时钟的基本原理和组装技巧,提高解决实际问题的能力。
同时,注重培养学生对科技的兴趣和情感态度,为学生的全面发展奠定基础。
二、教学内容1. 数字电子时钟的基本原理- 时钟电路的工作原理- 计数器的作用与分类- 显示装置的原理与种类2. 数字电子时钟的组成与功能- 时钟芯片:时钟振荡器、分频器等- 计数器:二进制计数器、十进制计数器等- 显示装置:LED数码管、LCD液晶显示屏等3. 数字电子时钟的制作与调试- 电路图的绘制与解读- 元器件的选择与安装- 电路的调试与故障排除4. 二进制与十进制数的转换- 二进制数与十进制数的对应关系- 转换方法:除2取余法、位权展开法等5. 实践操作与团队协作- 分组合作,设计并组装数字电子时钟- 交流展示,分享制作过程中的经验与问题- 评价与反馈,提高制作质量与团队协作能力教学内容依据课程目标制定,注重科学性和系统性。
教学大纲明确,按照以下进度安排:第一课时:数字电子时钟的基本原理第二课时:数字电子时钟的组成与功能第三课时:二进制与十进制数的转换第四课时:数字电子时钟的制作与调试(实践操作)第五课时:实践操作与团队协作(交流展示、评价与反馈)教学内容与课本紧密关联,确保学生能够掌握课程知识,培养实际操作能力。
数电电子钟课程设计
数电电子钟课程设计一、课程目标知识目标:1. 让学生掌握数字电路基础知识,特别是时序逻辑电路的原理和应用;2. 能够理解电子时钟的组成和工作原理,掌握电子时钟的设计方法;3. 学会使用常见数字电路元器件,如晶体振荡器、计数器、显示器件等,并能进行正确连接。
技能目标:1. 培养学生运用所学知识进行实际电子电路设计的能力,具备分析和解决实际问题的技能;2. 通过课程实践,提高学生动手操作能力,能够正确使用相关仪器和工具进行电子电路搭建;3. 培养学生团队协作和沟通能力,能够就设计过程中遇到的问题进行有效讨论和解决方案的提出。
情感态度价值观目标:1. 激发学生对电子科学的兴趣,培养积极探索、勇于创新的精神;2. 增强学生的环保意识,养成节约能源、爱护电子元器件的好习惯;3. 培养学生面对挫折和困难时,保持积极乐观的心态,勇于克服和解决问题。
课程性质:本课程为实践性较强的课程,旨在通过电子时钟的设计与制作,让学生将理论知识与实际应用相结合。
学生特点:学生具备一定的数字电路基础,对电子制作有较高的兴趣,但动手能力和实际问题解决能力有待提高。
教学要求:注重理论与实践相结合,充分调动学生的积极性,引导他们通过团队协作完成课程任务。
在教学过程中,关注学生个体差异,鼓励他们提出问题、解决问题,提高自主学习能力。
最终实现对课程目标的分解和达成。
二、教学内容本课程依据以下教材章节组织教学内容:1. 《数字电路》第四章:时序逻辑电路原理及其应用;2. 《电子技术》第三章:数字电路元器件及其特性;3. 《电子制作实践》第五章:电子时钟的设计与制作。
教学内容安排如下:1. 数字电路基础知识回顾,重点复习时序逻辑电路的原理和功能;2. 介绍电子时钟的组成,包括时钟振荡器、分频器、计数器、译码器、显示器件等;3. 讲解晶体振荡器的原理和选型,分析不同类型计数器的特点和应用;4. 实践操作部分,指导学生进行电子时钟的电路设计、元器件选型、电路搭建及调试;5. 依据课程进度,安排以下教学实践活动:a. 2学时:晶体振荡器实验,熟悉振荡器的工作原理和调试方法;b. 2学时:计数器实验,掌握不同类型计数器的连接和使用;c. 4学时:电子时钟设计与制作,分组进行电路设计、搭建、调试及展示。
数字电子技术课程设计-数字电子钟的设计
CD4511 BCD-七段译码器
A1 1 A2 2 LT 3 BI 4 LE 5 A3 6 A0 7 VSS 8
CD4511
16 VDD 15 Yf 14 Yg 13 Ya 12 Yb 11 Yc 10 Yd 9 Ye
BS207 七段半导体数码管
g f GND a b
10 9 8 7 6
a
fg b
51pF
(6)仿中央电视台整点报时(选做)
CD4060管脚:
11
1. 8Hz
2. 4Hz
3. 2Hz
4. 512Hz
5. 1024Hz
C2 6. 256Hz 100pF 7. 2048Hz
9. 32768Hz
13. 64Hz
14. 128Hz
15. 32Hz
三、课程设计报告要求
1.封面 题目、姓名、班级、学号、同组姓名、指导教师、日期
实验室提供的器件(基本要求)
74LS00 74LS04 74LS20 CD4029 CD4511 74LS74 74LS153 BS207
四 2输入与非门 六反相器 双4输入与非门 二进制/十进制可逆同步计数器 BCD-七段译码器 双上升沿DFF 双4选1数据选择器 数码管
参考资料
电子技术实验与课程设计 数字电路实验与课程设计 电子电路设计与实践 电子技术课程设计指导 电子技术实验与课程设计 电子技术基础课程设计 电子技术课程设计指南 数字钟电路及应用
数字电子技术基础课程设计
--数字电子钟的设计
一、设计要求
1.基本要求 (1)具有时、分、秒计时及显示;
计时范围:00时00分00秒-23时59分59秒。 (2)具有手动校时、校分功能; (3)能仿电台整点报时。
数电课设-数字钟
数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。
2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。
3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。
4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。
二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。
2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。
3、用LCD液晶屏来显示当前时间及功能模式。
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。
数电课程设计-数字电子钟PPT课件
3 设计方案的选择与论证
数字电子钟系统框图如下:
图3.1 数 字 电 子 钟 系 统 框 图
6
3 设计方案的选择与论证
3.1) 时间脉冲产生电路
振荡器是数字钟的核心。振荡器的稳定度及频率的 精确度决定了数字钟计时的准确程度。
由集成逻辑门与RC组成的时钟源振荡器或由集成 电路定时器555与RC组成的多谐振荡器作为时间 标准信号源。
本实验中采用4040来构成分频电路。CD4040计数 为最高为12级2进制计数器,可以将32767HZ的信 号先分频为8HZ,再分为1HZ的信号。如图4.1所示 ,可以直接实现振荡和分频的功能。
16
4 电路设计计算与分析
4.2) 时、分、秒计数器
数字钟的计数电路用两个六十进制计数电路和24进 制计数电路实现的。
数字电子钟设计目的数字电子钟设计目的设计任务和要求设计任务和要求设计方案的选择与论证设计方案的选择与论证电路设计计算与分析电路设计计算与分析元器件明细表元器件明细表11掌握数字钟的设计掌握数字钟的设计22熟悉集成电路的使用方法熟悉集成电路的使用方法11显示显示时时分分秒22可以可以2424小时制或小时制或1212小时制小时制
本设计校时电路是将各个位上的使能端引出接一个 单刀双掷开关,一端(1端)接低位的进位信号,另 一端(2端)接校时电路。校正某位上的时间时,可 以将相应位的开关接到2端,通过拨动校时电路就能 实现校时功能。
12
3 设计方案的选择与论证
3.5) 整点报时电路
一般时钟都应具备整点报时电路功能,即在时间出 现整点前数秒内,数字钟会自动报时,以示提醒。
J2是时校正开关。不校正时,J2开关是连接上面的 ,即连接正常计数。当校正时位时,首先截断正常的 计数通路,然后再进行人工出触发计数加到需要校正 的计数单元的输入端,校正好后,再转入正常计时状 态即可。
数电课程设计电子钟
数电课程设计电子钟一、课程目标知识目标:1. 让学生掌握数字电路基础知识,理解电子钟的工作原理。
2. 使学生了解并掌握电子钟各组成部分的功能及相互关系。
3. 培养学生运用数字电路知识分析、设计简单电子系统的能力。
技能目标:1. 培养学生运用所学知识,设计并搭建电子钟的能力。
2. 培养学生运用电子仪器、设备进行测试、调试和故障排查的能力。
3. 培养学生团队协作、沟通表达及解决问题的能力。
情感态度价值观目标:1. 培养学生对电子技术产生兴趣,激发学生学习积极性。
2. 培养学生严谨的科学态度和良好的实验习惯。
3. 培养学生具备创新意识和实践能力,增强学生对我国电子科技发展的自豪感。
课程性质分析:本课程属于电子技术课程,通过设计电子钟,使学生将所学数字电路知识应用于实际项目中,提高学生的实践能力。
学生特点分析:学生具备一定的数字电路基础知识,具有较强的动手能力和探究欲望,对实际应用场景感兴趣。
教学要求:结合学生特点,注重理论与实践相结合,培养学生的动手能力、创新能力和团队协作能力。
通过课程目标分解,实现对学生知识、技能和情感态度价值观的全面提升。
二、教学内容1. 数字电路基础知识回顾:逻辑门、组合逻辑电路、时序逻辑电路等。
2. 电子钟工作原理:振荡器、分频器、计数器、显示电路等。
3. 电子钟各组成部分功能及相互关系:晶振、分频器、秒、分、时计数器、显示驱动等。
4. 电子钟设计流程:需求分析、电路设计、仿真测试、硬件搭建、调试优化等。
5. 教学大纲:(1)第一周:回顾数字电路基础知识,介绍电子钟工作原理及各部分功能。
(2)第二周:分析电子钟各组成部分的相互关系,讲解设计流程。
(3)第三周:分组讨论,确定设计方案,进行电路设计和仿真测试。
(4)第四周:硬件搭建,进行调试和优化,确保电子钟正常工作。
6. 教材章节及内容:(1)第四章:数字电路基础,涉及逻辑门、组合逻辑电路等。
(2)第五章:时序逻辑电路,涉及计数器、寄存器等。
数电课程设计数字钟
数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。
技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。
课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。
学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。
教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。
通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。
二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。
电子数字时钟课程设计报告(数电)
电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。
而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字钟包括组合逻辑电路和时叙电路。
通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。
4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。
工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。
将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。
“时计数器”采用24进制计数器,可以实现24小时的累计。
LED数码管将“时、分、秒”计数器的输出状态显示。
校时电路是来对“时、分、秒”显示数字进行校对调整。
2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。
数字电子钟课程设计
数字电子钟 课程设计一、课程目标知识目标:1. 学生能理解数字电子钟的基本原理,掌握其组成结构,包括时钟芯片、数字显示管等;2. 学生能掌握数字电子时钟的电路连接方式,了解各部分功能及相互关系;3. 学生能运用所学知识分析并解决数字电子钟在实际应用中出现的问题。
技能目标:1. 学生能运用所学知识设计简单的数字电子钟电路,具备实际操作能力;2. 学生能通过查阅资料、合作交流等方式,提高自主学习能力和团队协作能力;3. 学生能运用数字电子钟的设计原理,进行创新设计,提高创新能力。
情感态度价值观目标:1. 学生对电子技术产生兴趣,树立学习信心,培养勇于探索、积极进取的精神;2. 学生认识到数字电子钟在生活中的广泛应用,了解科技发展对人类生活的影响,增强社会责任感;3. 学生在设计和制作过程中,培养耐心、细致的工作态度,提高审美观念。
本课程针对初中年级学生,结合电子技术学科特点,注重理论与实践相结合。
在教学过程中,关注学生个体差异,充分调动学生的主观能动性,培养其创新思维和实际操作能力。
通过本课程的学习,使学生能够将所学知识应用于实际生活,提高综合素养。
二、教学内容1. 数字电子钟原理及组成- 时钟芯片工作原理- 数字显示管工作原理- 数字电子钟的组成结构及功能2. 数字电子钟电路设计- 电路连接方法- 各组成部分的选型与参数- 电路图的绘制与解读3. 数字电子钟编程与调试- 基本编程知识- 编程控制数字显示- 电路调试与故障排查4. 数字电子钟的创新设计- 创新设计理念与方法- 功能拓展与优化- 设计实例分析教学内容依据课程目标,结合教材相关章节,按照以下进度安排:第一课时:数字电子钟原理及组成第二课时:数字电子钟电路设计第三课时:数字电子钟编程与调试第四课时:数字电子钟的创新设计在教学过程中,注重理论与实践相结合,引导学生通过观察、实践、思考,掌握数字电子钟的设计与应用。
同时,鼓励学生进行创新设计,提高其解决问题的能力和创新思维。
数字电子技术课程设计报告报告——电子钟设计
数字电子技术课程设计报告课题:数字钟的设计与制作学年:专业:班级:姓名:数字电子技术课程设计报告一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计内容及要求〔1〕设计指标①由晶振电路产生1HZ标准秒信号;②分、秒为00~59六十进制计数器;③时为00~23二十四进制计数器;④周显示从1~日为七进制计数器;⑤具有校时功能,可以分别对时及分进展单独校时,使其校正到标准时间;⑥整点具有报时功能,当时间到达整点前鸣叫五次低音〔500HZ〕,整点时再鸣叫一次高音〔1000HZ〕。
〔2〕设计要求①画出电路原理图〔或仿真电路图〕;②元器件及参数选择;③电路仿真与调试。
〔3〕制作要求自行装配和调试,并能发现问题和解决问题。
〔4〕编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图数字钟实际上是一个对标准频率〔1HZ〕进展计数的计数电路。
由于计数的起始时间不可能与标准时间〔如时间〕一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
数字电子钟的总体图如图〔1〕所示。
由图〔1〕可见,数字电子钟由以下几局部组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器及七十进制日计数器;以及秒、分、时的译码显示局部等。
四、主要局部的实现方案1 秒脉冲电路由晶振32768Hz经CD4060分频为2Hz,再经过74LS74一次分频,即得1Hz 标准秒脉冲,提供应时钟计数脉冲。
数字电子技术课程设计之数字电子钟
工业自动化领域
医疗健康领域
感谢您的观看
THANKS
接口设计
采用并行或串行接口与主控制器连接,实现数据的快速传输和实时更新显示内容。
软件编程实现方法论述
初始化模块:在程序开始时,需要对相关硬件进行初始化,如设置IO口输入输出模式、配置定时器中断等。同时,也需要对软件相关变量进行初始化,如清零计数器、设置初始时间等。
分模块调试:在编写程序时,可以将整个程序划分为多个模块进行分别调试。通过逐个模块进行测试和验证,可以更容易地定位和解决问题。
实物制作与测试环节展示
推荐使用Altium Designer或Eagle等专业PCB设计软件,确保设计精度和效率。
选择合适的PCB设计软件
根据实际需求设定信号层、电源层和地层等,注意层间距离和走线规则。
设定合理的板层结构
遵循“先大后小,先难后易”的布局原则,优先布置核心元器件和关键信号线;布线时尽量缩短走线长度,减少交叉和环路。
创新意识培养
数字电子钟可作为家居装饰的一部分,增添现代感和科技感。
家居装饰
在车站、广场等公共场所设置数字电子钟,方便人们获取准确时间信息。
公共场所
为盲人、视障人士等设计具有语音报时功能的数字电子钟,满足特殊需求。
特殊应用
数字电子钟基本原理与组成
通过振荡器产生稳定的脉冲信号,作为数字电子钟的时钟源。
布局布线优化
结果分析
记录测试结果,与设计指标进行对比分析;针对存在的问题进行排查和改进,优化设计方案。
测试方法
使用示波器、万用表等测试工具,按照设计指标对数字电子钟的各项功能进行测试,包括时间显示、定时功能、闹钟功能等。
调试技巧
在测试过程中注意观察和记录异常现象,结合电路原理和实际经验进行逐步排查;对于复杂问题可采用分模块调试的方法,提高调试效率。
数电数字钟课程设计
数电数字钟课程设计一、课程目标知识目标:1. 理解数字时钟的基本原理,掌握数字电路基础知识;2. 学会使用集成门电路设计简单的数字电路,并能正确读取数字时钟电路图;3. 掌握数字时钟各模块(如秒脉冲发生器、计数器、译码器等)的功能及相互关系。
技能目标:1. 能够运用所学知识,设计并搭建一个简易的数电数字钟;2. 培养学生动手实践能力,学会使用相关仪器、工具进行电路连接和调试;3. 提高学生的问题分析和解决能力,能够针对数字时钟故障进行排查和修复。
情感态度价值观目标:1. 激发学生对电子技术的兴趣,培养创新意识和团队合作精神;2. 培养学生严谨、细心的学习态度,养成良好的学习习惯;3. 增强学生对科技发展的关注,认识数字电路在实际应用中的价值。
分析课程性质、学生特点和教学要求,本课程目标旨在使学生在掌握数字电路基础知识的基础上,通过实际操作和设计,提高实践能力和创新意识,培养团队合作精神。
课程目标具体、可衡量,便于教师进行教学设计和评估。
在此基础上,将目标分解为具体的学习成果,为后续教学提供明确的方向。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、触发器、计数器等基本概念和工作原理。
2. 数字时钟原理:介绍数字时钟的构成、工作原理及各模块功能,如秒脉冲发生器、分频器、计数器、译码器等。
3. 教学案例:选用教材中相关的数字时钟案例,分析其电路原理和设计方法。
- 章节关联:第三章“组合逻辑电路”和第四章“时序逻辑电路”- 列举内容:3.2节“集成门电路”、4.3节“触发器”和4.4节“计数器”4. 实践操作:指导学生使用面包板、集成块等工具,搭建一个简易的数电数字钟。
- 进度安排:实践操作分为两个阶段,第一阶段为电路设计和搭建,第二阶段为电路调试和优化。
5. 故障排查与修复:教授学生针对数字时钟常见故障进行分析和解决的方法。
6. 课后拓展:引导学生关注数字电路在实际应用中的新技术和新发展。
教学内容根据课程目标进行选择和组织,确保科学性和系统性。
数电电子钟课程设计
数电电子钟课程设计一、课程目标知识目标:1. 学生能理解数字电路基础知识,掌握电子时钟的工作原理;2. 学生能运用所学知识,分析并设计简单的数电电子时钟;3. 学生了解数电电子时钟各组成部分的功能及相互关系。
技能目标:1. 学生能够运用Multisim等软件进行电路仿真,完成电子时钟的设计与测试;2. 学生能够通过小组合作,解决在电子时钟设计过程中遇到的问题;3. 学生能够运用数电知识,进行电路调试,提高实际操作能力。
情感态度价值观目标:1. 学生通过课程学习,培养对数字电路和电子技术的兴趣,激发创新意识;2. 学生在小组合作中,学会沟通与协作,培养团队精神;3. 学生在课程实践中,树立工程意识,提高分析和解决问题的能力。
课程性质:本课程为实践性较强的课程,结合理论知识和实际操作,培养学生的动手能力和创新能力。
学生特点:学生为高中年级学生,具备一定的数电基础,对电子技术有一定了解,具有较强的求知欲和动手能力。
教学要求:教师应注重理论与实践相结合,关注学生的个体差异,提高学生的实践操作能力和创新能力。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
二、教学内容本课程教学内容主要包括以下三个方面:1. 数字电路基础知识回顾:- 简单逻辑门电路的功能与原理;- 时序逻辑电路的基本概念和原理;- 数字电路的常用器件及其功能。
2. 电子时钟工作原理及设计:- 电子时钟的基本组成,包括秒脉冲发生器、分频器、计数器、译码器等;- 介绍电子时钟的工作原理,分析各组成部分的功能及相互关系;- 通过实例分析,学习电子时钟的设计方法和步骤。
3. 实践操作与仿真:- 使用Multisim软件进行电子时钟电路的搭建、仿真和调试;- 学生分组进行实际操作,完成电子时钟的设计与测试;- 教师指导学生解决在设计和测试过程中遇到的问题。
教学大纲安排:1. 数字电路基础知识回顾(1课时);2. 电子时钟工作原理及设计(2课时);3. 实践操作与仿真(3课时)。
数字钟数字电子课程设计
数字钟数字电子课程设计一、课程目标知识目标:1. 理解数字钟的基本原理,掌握数字电子技术的基本概念。
2. 学会使用集成电路芯片,了解其功能及在数字钟中的应用。
3. 掌握数字钟各模块(如秒表、时钟、闹钟等)的工作原理及其相互关系。
技能目标:1. 能够运用所学知识设计简单的数字钟电路,具备实际操作能力。
2. 学会使用相关软件(如Multisim、Proteus等)进行电路仿真,提高实践技能。
3. 培养团队协作能力,学会与他人共同分析问题、解决问题。
情感态度价值观目标:1. 培养学生对数字电子技术的兴趣,激发学习热情,提高自主学习能力。
2. 培养学生严谨的科学态度,注重实践与理论相结合,养成良好的学习习惯。
3. 增强学生的环保意识,注重电子废弃物的合理处理,培养社会责任感。
本课程针对高年级学生,在已有电子技术知识的基础上,进一步深化对数字电子技术的理解。
课程性质为实践性、综合性,要求学生具备一定的理论基础和动手能力。
通过本课程的学习,旨在使学生在理论知识和实践技能上得到全面提升,培养具备创新精神和合作意识的高素质技术人才。
二、教学内容1. 数字电子技术基本原理回顾:逻辑门电路、触发器、计数器等。
2. 集成电路芯片介绍:集成电路的分类、功能及其在数字钟中的应用。
- 侧重于时钟芯片、计数器芯片、显示驱动芯片等。
3. 数字钟工作原理及模块设计:- 秒表模块:基于计时器/计数器的秒表设计。
- 时钟模块:时钟信号的产生、时序控制及时间调整。
- 闹钟模块:闹钟功能的设计与实现。
4. 数字钟电路设计与仿真:- 使用Multisim、Proteus等软件进行电路设计、仿真及调试。
- 熟悉电路图绘制、仿真分析及报告撰写。
5. 实际操作与制作:- 采购元器件、焊接组装数字钟电路板。
- 调试电路、测试功能、解决实际问题。
6. 教学内容的安排与进度:- 原理回顾与芯片介绍(2课时)。
- 数字钟模块设计(4课时)。
- 电路设计与仿真(4课时)。
课程设计_数字电子钟设计报告
课程设计_数字电子钟设计报告第一篇:课程设计_数字电子钟设计报告数字电子钟设计报告数字电子钟设计报告目录1.实验目的 (2)2.实验题目描述和要求 (2)3.设计报告内容...........................................................................2 3.1实验名称.................................................................................2 3.2实验目的.................................................................................2 3.3实验器材及主要器件..................................................................2 3.4数字电子钟基本原理..................................................................3 3.5数字电子钟单元电路设计、参数计算和器件选择..............................3-8 3.6数字电子钟电路图.....................................................................9 3.7数字电子钟的组装与调试............................................................9 4.实验结论.................................................................................9 5.实验心得 (10)参考文献 (10)数字电子钟设计报告一简述数字电子钟是一种用数字显示秒,分,时,日的计时装置,与传统的机械相比,它具有走时准确,显示直观,无机械传动装置等优点,因而得到了广泛的应用:小到人们日常生活中的电子手表,大到车站,码头,机场等公共场所的大型数显电子钟。
数电电子钟课程设计
数电 电子钟课程设计一、课程目标知识目标:1. 让学生掌握数字电路基础知识,理解电子时钟的原理与设计方法。
2. 使学生能够运用所学知识分析电子时钟各模块的功能及相互关系。
3. 培养学生运用数字电路知识解决实际问题的能力。
技能目标:1. 培养学生运用所学知识设计、搭建和调试电子时钟的能力。
2. 培养学生团队协作和沟通表达能力,提高项目实施效率。
3. 培养学生运用计算机辅助设计软件进行电子电路设计与仿真。
情感态度价值观目标:1. 培养学生热爱科学、探索科学的精神,增强对数字电路的兴趣。
2. 培养学生严谨、务实的学习态度,养成良好学习习惯。
3. 培养学生具备创新意识和实践能力,提高对电子工程的认知。
课程性质:本课程为实践性较强的课程,结合理论知识,培养学生的实际操作能力和团队协作能力。
学生特点:学生具备一定的数字电路基础,具有较强的学习兴趣和动手能力。
教学要求:结合课程性质、学生特点,明确以下教学要求:1. 讲授与实验相结合,注重理论与实践相结合。
2. 引导学生主动参与,提高学生动手实践能力。
3. 创设情境,激发学生兴趣,培养学生团队协作能力。
4. 注重过程评价,关注学生个体差异,提高教学质量。
二、教学内容1. 数字电路基础知识回顾:逻辑门电路、触发器、计数器等基本概念与功能。
2. 电子时钟原理:介绍电子时钟的组成、工作原理及各模块功能。
3. 电子时钟设计:分析电子时钟各模块电路设计,包括秒脉冲发生器、分频器、计数器、显示译码器等。
4. 电路搭建与调试:指导学生运用所学知识搭建电子时钟电路,并进行调试与优化。
5. 计算机辅助设计:教授学生使用Multisim、Proteus等软件进行电子时钟设计与仿真。
6. 团队协作与项目实施:分组进行项目设计,培养学生团队协作能力和沟通表达能力。
教学内容安排与进度:第一周:回顾数字电路基础知识,介绍电子时钟原理及各模块功能。
第二周:分析电子时钟各模块电路设计,制定项目设计方案。
数字电子钟课程设计
数字电子钟课程设计一、课程目标知识目标:1. 让学生掌握数字电子钟的基本原理,理解其电路构成及工作流程;2. 让学生了解数字电子钟各部分功能及相互关系,如时钟电路、计数器、显示电路等;3. 使学生了解数字电子钟的设计方法,掌握相关电子元器件的使用。
技能目标:1. 培养学生运用所学知识,设计并制作简单数字电子时钟的能力;2. 培养学生动手实践能力,学会使用相关工具和仪器进行电路搭建;3. 培养学生团队协作能力,学会与他人共同分析问题、解决问题。
情感态度价值观目标:1. 激发学生对电子技术的兴趣,培养其探索精神和创新意识;2. 培养学生严谨的科学态度,注重实验数据的准确性和实验操作的规范性;3. 培养学生关注社会发展,认识到电子技术在实际应用中的重要性。
课程性质:本课程属于电子技术实践课程,以理论为基础,注重实践操作。
学生特点:本课程针对初中或高中年级学生,他们对电子技术有一定的基础知识,具备一定的动手能力,但需进一步引导和培养。
教学要求:结合学生特点,课程目标具体、明确,注重理论与实践相结合,充分调动学生的主观能动性,培养其创新能力和实际操作能力。
通过本课程的学习,使学生能够独立设计并制作简单的数字电子钟,提高其综合素养。
二、教学内容1. 数字电子钟原理及电路构成- 时钟电路原理及振荡器设计- 计数器原理及其应用- 显示电路原理及驱动方式2. 数字电子钟设计方法- 电路设计基本流程与方法- 电子元器件的选择与应用- 电路仿真与调试技巧3. 实践操作环节- 数字电子钟电路搭建- 电路调试与故障排查- 数字电子钟功能拓展4. 教学内容安排与进度- 第一课时:数字电子钟原理及电路构成介绍- 第二课时:电路设计方法及元器件选择- 第三课时:实践操作环节,电路搭建与调试- 第四课时:总结与展示,拓展数字电子钟功能5. 教材章节及内容列举- 教材第四章:数字电路基础,涉及时钟电路、计数器、显示电路等基本原理- 教材第五章:电子电路设计,包含电路设计流程、元器件选择与应用、仿真与调试方法- 教材第六章:实践操作,涉及电路搭建、调试及故障排查教学内容科学系统,注重理论与实践相结合,确保学生在掌握基本原理的基础上,能够独立完成数字电子钟的设计与制作。
数电课程设计报告-数字电子钟东北大学
数电课程设计报告-数字电子钟东北大学第一篇:数电课程设计报告-数字电子钟东北大学课程设计报告设计题目:数字电子钟设计与实现班级:学号:姓名:指导教师:设计时间:摘要数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。
由于数字集成电路技术的发展采用了先进的三石英技术,使数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。
尽管目前市场上已有现成的数字时钟电路芯片出售,价格便宜、使用也方便,但鉴于数字时钟电路的基本组成包含了数字电路的组成部分,因此进行数定时钟的设计是必要的。
在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来增养我们的综合分析和设计电路的能力。
本次设计以数字时钟为主,实现对时、分、秒数字显示的计数器计时装置,周期为24小时,显示满为23时59分59秒并具4有校时功能的数电子时钟。
电路主要采用中规模的集成电路,本电路主要脉冲产生模块、校时模块、两个六十进制模块(分、秒)、一个二十四进制模块(时)和一个报时逻辑电路组成。
时、分、秒再通过BCD-7段译码显示屏显示出来。
关键词:计数器译码器校时目录概述2 课程设计任务及要求2.1 设计任务2.2 设计要求3 理论设计3.1方案论证3.2 系统设计3.2.1 结构框图及说明3.2.2 系统原理图及工作原理3.3 单元电路设计3.3.1秒脉冲电路设计3.3.2时、分、秒计数器电路3.3.3校时电路3.3.4译码显示电路3.3.5定时电路设计4.软件仿真4.1 仿真电路图4.2 仿真过程4.2 仿真结果5.结论6.使用仪器设备清单7.参考文献。
8.收获、体会和建议。
5 5 8 10 11 13 15 16181919202.课程设计及要求2.1设计任务数字电子时钟是一种用数字电路技术实现“时”、“分”、“秒”计时的装置。
数字电子技术课程设计——数字钟
数字电子技术课程设计——数字钟一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,和机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计和制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习和掌握各种组合逻辑电路和时序电路的原理和使用方法.二、设计要求(1)设计指标①时间以12小时为一个周期;②显示时、分、秒;③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
(2)设计要求①画出电路原理图(或仿真电路图);②元器件及参数选择;③电路仿真和调试;④PCB文件生成和打印输出。
(3)制作要求自行装配和调试,并能发现问题和解决问题。
(4)编写设计报告写出设计和制作的全过程,附上有关资料和图纸,有心得体会。
三、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能和标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
(a)数字钟组成框图2.晶体振荡器电路晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
➢ 60进制,当计数器从00,01,02,……,59计数时 ,反馈门不起作用,当第60个秒脉冲到来时,反馈信 号随即将计数电路清零,实现模为60的循环计数。
3 设计方案的选择与论证
3.3) 译码和数码显示电路
译码和数码显示电路是将数字钟和计时状态直观清 晰地反映出来,被人们的视觉器官所接受。显示器 件选用LED七段数码管。在译码显示电路输出的驱 动下,显示出清晰、直观的数字符号。
3 设计方案的选择与论证
3.4) 校时电路
➢ 实际的数字钟表电路由于秒信号的精确性不可能做 到完全(绝对)准确无误,数字钟总会产生走时误差 的现象。因此,电路中就应该有校准时间功能的电路 。
4 电路设计计算与分析
4.1) 秒信号电路单元设计
➢ 图4.1所示电路通过TTL非门构成的输出为方波的数 字式晶体振荡电路,TTL非门G1与晶体、电容和电 阻构成晶体振荡器电路,G2实现整形功能,将振荡 器输出的近似于正弦波的波形转换为较理想的方波。
➢ 输出反馈电阻R47和R48为非门提供偏置,ห้องสมุดไป่ตู้电路工 作于放大区域,即非门的功能近似于一个高增益的反 相放大器。
➢ J2是时校正开关。不校正时,J2开关是连接上面的 ,即连接正常计数。当校正时位时,首先截断正常的 计数通路,然后再进行人工出触发计数加到需要校正 的计数单元的输入端,校正好后,再转入正常计时状 态即可。
2)采用CD4040等来构成分频电路。CD4040在数 字集成电路中可实现的分频次数达到12次,为12级 2进制计数器,可以将32768HZ的信号分频为8HZ 。由两片就可以将脉冲分为1HZ的秒信号了。
本设计为了得到稳定的脉冲选用了石英晶体振荡器 ,为了简化电路分频选用了CD4040。
3 设计方案的选择与论证
➢ 分计数电路与秒钟相同,只是将十位的进位信号接 至时计数电路的使端。
4 电路设计计算与分析
4.2) 时、分、秒计数器
(2)二十四进制计数器
图4.3 二十四进制计数功能电路图
4 电路设计计算与分析
4.2) 时、分、秒计数器
➢ 时计数器和分计数器大同小异,时计数电路由两位计 数 芯 片 74LS160 构 成 的 二 十 四 进 制 计 数 器 , 将 一 片 74LS160设计成4进制加法计数器,另一片设置2进 制加法计数器。
➢ 个位计数状态为QD QC QB QA = 0100,十位计数 状态为QD QC QB QA = 0010时,要求计数器归零。
4 电路设计计算与分析
4.2) 时、分、秒计数器
➢ 将个位QC、十位QB通过一个与非门接至个位、十 位计数器的异步清零端CR,平时通过与非门输出地 信号为高电平,当计数到0010 0100(十进制24)时 ,输出地信号为低电平,十位和个位同时清零,从而 构成24进制计数器。
对分钟校时的时候,最大分钟不向小时进位。校时 时钟源可以手动输入或借用电路中的时钟。 (4)具有正点报时功能,正点前10秒开始,蜂鸣器 1秒响1秒停地响5次。 (5)为了保证计时准确、稳定,由晶体振荡器提供 标准时间的基准信号。
3 设计方案的选择与论证
(1)数字电子钟由信号发生器、“时、分、秒”计 数器、译码器及显示器、校时电路、整点报时电路 等组成。
3.2) 时、分、秒计数器
➢ 根据60秒为1分、60分为1小时、24小时为1天的计 数周期,分别组成两个六十进制(秒、分)、一个 二十四进制(时)的计数器。将这些计数器适当地 连接,就构成秒、分、时的计数,实现计时功能。
➢ 本设计采用4位二进制同步计数器74LS160,异步 清零端CR,无论有无CP,计数器立即清零,计数使端 ENp=ENt=1,计数器计数。
3 设计方案的选择与论证
数字电子钟系统框图如下:
图3.1 数 字 电 子 钟 系 统 框 图
3 设计方案的选择与论证
3.1) 时间脉冲产生电路
➢ 振荡器是数字钟的核心。振荡器的稳定度及频率的 精确度决定了数字钟计时的准确程度。
➢ 由集成逻辑门与RC组成的时钟源振荡器或由集成 电路定时器555与RC组成的多谐振荡器作为时间 标准信号源。
➢ 本实验中采用4040来构成分频电路。CD4040计数 为最高为12级2进制计数器,可以将32767HZ的信号 先分频为8HZ,再分为1HZ的信号。如图4.1所示, 可以直接实现振荡和分频的功能。
4 电路设计计算与分析
4.2) 时、分、秒计数器
➢ 数字钟的计数电路用两个六十进制计数电路和24进 制计数电路实现的。
(1)六十进制计数器
图4.2六十进制计数功能电路图
4 电路设计计算与分析
4.2) 时、分、秒计数器
➢ 秒计数电路是由两位计数芯片74LS160构成的六十进 制计数器。
1)秒个位是10进制计数器, 无需进制转换,只需将 进位输出C接至十位的使能端ENp和Ent。
2)秒十位计数单元为6进制计数器,需要进制转换 ,10进制计数器转换为6进制计数器的电路连接,需 要将QB和QC通过一个与非门接至清零端CR。
➢ 根据要求,电路应在整点前10秒钟内开始整点报时 ,即当时间在59分51秒到00分00秒期间时,对报时 电路发出报时控制信号。每隔一秒报时一秒。
4 电路设计计算与分析
4.1) 秒信号电路单元设计
图4.1 秒信号电路图
(1)晶体振荡器电路 晶体振荡器是构成数字式时钟的核心,它保证了时 钟的走时准确及稳定。
3 设计方案的选择与论证
(4)“时计数器”采用24进制计数器,可以实现一 天24h的累计。
(5)译码显示电路将“时、分、秒”计数器的输出 状态经七段显示译码器译码,通过六位LED数码管 显示出来。
(6)整点报时电路是根据计时系统的输出状态产生 一个脉冲信号,然后去触发音频发生器实现报时。
(7)校时电路是来对“时、分、秒”显示数字进行 校对调整。
➢ 本设计校时电路是将各个位上的使能端引出接一个 单刀双掷开关,一端(1端)接低位的进位信号,另 一端(2端)接校时电路。校正某位上的时间时,可 以将相应位的开关接到2端,通过拨动校时电路就能 实现校时功能。
3 设计方案的选择与论证
3.5) 整点报时电路
➢ 一般时钟都应具备整点报时电路功能,即在时间出 现整点前数秒内,数字钟会自动报时,以示提醒。
➢ 将“秒”、“分”、“时”计数器的每位输出经过 译码电路后再分别接到相应七段译码器的输入端,便 可进行不同数字的显示。
4 电路设计计算与分析
4.4) 校时电路
图4.6 校时电路
4 电路设计计算与分析
4.4) 校时电路
➢ 校时电路是数字钟不可缺少的部分,当数字钟与实 际时间不符时,需要根据标准时间进行校时。
3.2) 时、分、秒计数器
2、分计数电路也可以由两位计数芯片构成。具体的做 法同秒钟相同,只是将十位的进位信号接至时计数 电路的使端。
3、时计数电路是由两个74LS160构成的24进制计数器 。将个位的QB和十位QC通过一个与非门接至清零 端CR,这样当计数到0010 0100(十进制24)时, 十位和个位同时清零。
4 电路设计计算与分析
4.2) 时、分、秒计数器
➢ 当CR=LD=P=T=1时,74LS160处于计数状态, 电路从0000状态开始,连续输入10个计数脉冲后, 电路 将从1001状态返回到0000状态。
➢ 当计数触发器为1001时,进位输出为1,否则为零。
4 电路设计计算与分析
4.2) 时、分、秒计数器
1、秒计数电路由两位计数芯片构成,个位10进制计数, 十 位 6 进 制 计 数 , 当 个 位 计 数 器 计 到 1010( 十 进 制 10)时,计数器立即清零。将个位进位输出接至十位 使能端ENp和ENt,当个位计数器计到1001(十进 制9)时,产生一个进位信号,使十位计数器累加
3 设计方案的选择与论证
➢ 石英晶体振荡器的特点是振荡频率准确、电路结 构简单、频率易调整。通常选用石英晶体构成振荡 器电路。
3 设计方案的选择与论证
3.1) 时间脉冲产生电路
➢ 一般采用石英晶体振荡器经过分频得到这一时间脉 冲信号。
1)采用多级2进制计数器来实现。将32767Hz的振 荡信号分频为1HZ的分频倍数为32767,即实现 该分频功能的计数器相当于15级2进制计数器。
数字电子钟课程设计
1 数字电子钟设计目的 2 设计任务和要求 3 设计方案的选择与论证 4 电路设计计算与分析 5 元器件明细表
1 数字电子钟设计目的
(1)掌握数字钟的设计 (2)熟悉集成电路的使用方法
2 设计任务和要求
(1)显示时、分、秒。 (2)可以24小时制或12小时制。 (3)具有校时功能,分别对小时和分钟单独校时,
4 电路设计计算与分析
4.2) 时、分、秒计数器
➢ 本实验采取了74LS160用两块芯片进行级联来产生 60进制和24进制。秒、分计数器为60进制计数器, 小时计数器为24进制计数器。实现这两种模数的计数 器采用中规模集成计数器74LS160构。
➢ 74LS160是4位二进制同步加法计数器,除了有二进 制加法计数功能外,还具有异步清零、同步并行置数 、保持等功能。CR是异步清零端,LD是预置数控制 端,D0 ,D1,D2,D3是预置数据输人端,P和T是 计数使能端,C是进位输出端,它的设置为多片集成 计数器的级 联提供了方便。
➢ 函数发生器产生的脉冲输入至芯片74LS160,即从 00开始计时,到23后,若再来脉冲则整体置零,变 为00,重新开始计时。
4 电路设计计算与分析
4.2) 时、分、秒计数器
(3)秒、分、时之间的进位电路
图4.4秒、分、时之间的进位电路