24进制计数器

合集下载

24进制计数器的真值表

24进制计数器的真值表

24进制计数器的真值表
24进制计数器的真值表
一个24进制计数器是一种能够进行24进制计数的设备,它可以用来记录和显示从0到23的数字。

它有24个输入线和4个输出线,分别用来输入和输出24进制数字。

真值表是用来描述计数器行为的一种工具。

它列出了计数器的所有可能输入和对应的输出。

对于一个24进制计数器,真值表将有24行,每一行对应一个输入值,从0到23。

每一行有4列,分别对应4个输出线。

下面是一个24进制计数器的简化真值表示例:
输入输出
00 00
01 01
02 02
...
21 21
22 22
23 23
这个真值表显示了计数器的正常计数顺序。

当计数器收到一个时钟脉冲时,它会从0开始递增,直到达到23,然后重新从0开始。

除了正常计数顺序,24进制计数器还可以通过输入线的不同组合来实现不同的功能。

例如,可以使用一个特殊的输入组合来重置计数器,使其回到0。

还可以使用其他输入组合来实现特定的计数序列,例如按照某种规律跳过一些数字。

总之,真值表是描述24进制计数器行为的有用工具,它可以帮助我们理解和设计这种计数器的功能。

数字电路实验报告——24进制计数器逻辑功能及其应用

数字电路实验报告——24进制计数器逻辑功能及其应用

24进制计数器逻辑功能及其应用一、实验目的:1. 熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。

2. 掌握构成计数器的方法。

二、实验设备及器件:1. 数字逻辑电路实验板1片2. 74HC90同步加法二进制计数器2片3. 74HC00二输入四与非门1片4. 74HC04 非门1片三、实验原理:计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。

按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。

根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。

根据计数的增减趋势,又分为加法、减法和可逆计数器。

还有可预置数和可编程序功能计数器等等。

目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。

使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

集成计数器74HC90是二-五-十进制计数器,其管脚排列如图。

四、实验内容实验电路图:用74HC00与非门和74HC04的非门串联,构成与门。

74HC00的引脚图和真值表如图:74HC04的引脚图与真值表如图:按实验电路图,参照各个芯片的引脚图和真值表,连接电路。

其中Q0到Q3分别连到数码管的对应的D0到D3,CP0端接到时钟脉冲,然后检查电路无误后,加电源,观察现象。

实验结果:个位数码管随时间显示0、1、2、3、4、5、6、7、8、9,十位数码管显示个位进位计数结果,按0、1、2变化,当数字增加到23后,数码管自动清零,又从零开始变化。

五、实验心得:本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及74HC160的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。

EDA 24进制计数器的设计

EDA 24进制计数器的设计

《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验1:24进制计数器的设计二、任务及要求【基本部分】5分1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

2、要求具备使能功能和异步清零功能。

3、设计完成后生成一个元件,以供更高层次的设计调用。

4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。

三、实验程序(原理图)四、仿真及结果分析在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,设计一个24进制同步计数器的思路是,一片74160计数器作为个位计数,一片用来十位计数,要实现同步24进制,则个位接成0011,十位接成0010,再用一个四输入(一段接一个使能信号EN)的与非门接到两片74160计数器上的置数端LDN。

把原理图在QuartusII上画成后,进行编译,编译无误后,在新建一个波形文件,添加所有引脚,设置输入引脚的波形,最后在进行波形编译,无误后即可达到想要的24进制。

然后再根据EPF10K30E144芯片引脚对照,输入各个输入输出引脚的引脚号,再链接到试验箱检验,观察数码管的显示结果。

五、硬件验证1、选择模式:模式72、引脚锁定情况表:六、小结经过这次的实验工作,让我知道了许多的东西,也对QuartusII这个软件的一个初步认识及应用,也让我了解了许多在书本上所学不到的知识和技能,这为我们在以后的工作起了非常重要的作用。

加法计数器的设计实验报告

加法计数器的设计实验报告

EDA实验报告书ELSECOUT<='0';END IF;CQ<=CG;CP<=CS;END PROCESS;END BBQ;仿真波形图问题讨论1.设计一个60进制的加法计数器,具体要求与本实验中的24进制计数器相同。

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY JINZHI60 ISPORT(CLK,RD,EN:IN STD_LOGIC;CQ,CP:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT:OUT STD_LOGIC);END JINZHI60 ;ARCHITECTURE BBQ OF JINZHI60 ISSIGNAL CS,CG: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK,RD,EN)BEGINIF RD='1' THEN CG<="0000"; CS<="0000";ELSIF CLK'EVENT AND CLK='1' THENIF EN='1' THENIF (CS="0101" AND CG="1001") THENCG<="0000";CS<="0000";ELSIF CG="1001" THEN CG<="0000";CS<=CS+1;ELSE CG<=CG+1;END IF;END IF;END IF;IF (CS="0101" AND CG="1001") THEN COUT<='1';ELSE COUT<='0';END IF;CQ<=CG;CP<=CS;END PROCESS;END BBQ;2.利用60进制及24进制计数器设计简易数字钟。

数电用2片74LS161实现224进制的计数器(4种方法)

数电用2片74LS161实现224进制的计数器(4种方法)

工业大学《数字电子技术基础》结课报告题目:用2片74LS161实现224进制计数器:王倩倩学号:**********班级:1111201用2片74LS161实现224进制的计数器摘要:74LS161是集成4位二进制计数器,异步置零,同步置数,用两片74LS161最高可以实现256进制计数器,级联方法主要是同步并行和异步串行。

本文介绍用两片74LS161实现224进制计数器,通过清零法和置数法改变其进制。

用Multisim 进行仿真,以波形及灯泡亮灭两种方式,显示计数器的计数过程、过渡状态形成清零或置数信号的过程,用四踪示波器以面板部分重叠显示方法同步显示时钟脉冲信号、清零或置数信号以及状态输出信号,分析了计数至最高位返回0的过渡态。

关键词:74LS161 224进制清零法置数法同步并行异步串行正文:74LS161是集成4位二进制加法计数器,其功能表如表1所示:表1 74LS161功能表74LS161是异步置零、同步置数,利用74LS161的预置数控制LD端或者清零端CR在计数循环过程跳过一些状态,可构成任意进制的计数器。

用两片74LS161级联最高可以构成256进制的计数器,级联方式主要是同步并行和异步串行。

根据两片74LS161芯片的级联方式和控制计数进制的方法的不同,我们可以采取四大类方式,实现用两片74LS161芯片实现224进制的计数器。

下面,我们分别简要介绍这四种方法,并用软件Multisim进行仿真以检验设计的正确性。

一. 同步并行-清零法1.电路设计分析74LS161是四位二进制的加法计数器,要想实现224进制的加法计数器,必须使用两片74LS161芯片,这就这就涉及到级联,我们先用同步并行的方式进行级联。

同步并行,就必须在CP端接同一个脉冲信号,作为高位的芯片通过低位芯片的RCO端进行控制,当低位芯片计数到最高位的时候,RCO由0变为1,低位RCO接高位的两个使能端,这样就能实现低位芯片计数到最大的时候,在高位记一位数。

24进制计数器proteus实验报告

24进制计数器proteus实验报告

24进制计数器proteus实验报告24进制计数器是在电子系统的设计中经常使用的计数器,具有高速、稳定、精度高等特点,因此在各种计数相关的操作和应用中被广泛使用。

本次实验的目的是在Proteus软件中实现24进制计数器,并验证其计数功能和参数的准确性。

实验器材:- Proteus软件-一块PIC16F877A单片机-一个24进制数码管实验原理:24进制计数器是指计数器的基数为24,即每一次计数器加1所表示的是24进制数中的1。

在本次实验中,我们将采用PIC16F877A单片机来实现24进制计数器,通过单片机来对计数器的计数值进行控制和显示。

具体实现需要根据PIC16F877A的编程和控制的特点,针对24进制计数器设计适当的算法与操作。

实验过程:1.根据24进制计数器的原理,确定计数器所采用的基数为24,编写程序,对PIC16F877A进行初始化和IO口配置。

2.在Proteus软件中,添加PIC16F877A单片机和24进制数码管,并连接需要的电路。

3.编写程序,设置计数范围,并实现对计数值的加1和显示。

4.进行仿真测试,查看计数器的正确性和稳定性。

5.通过调整程序和电路参数,优化计数器的性能和准确性。

实验结果:经过一系列的设计和测试,实验结果表明,本次24进制计数器的实验操作成功,可以实现稳定的计数功能。

在计数器运行的过程中,可以正确显示当前的计数值,并能够正常进行加1操作。

同时,在根据实际需要调整计数范围和显示参数的过程中,可以使用该计数器进行更加精确和高效率的计数操作。

实验结论:通过本次实验的操作和测试,可以有效地理解和应用24进制计数器的原理和实现方法,掌握PIC16F877A单片机作为控制器的实现技术。

该计数器具有高速、稳定和精度高等特点,在各种计数相关的操作和应用中具有广泛的实用价值。

eda24进制计数器设计

eda24进制计数器设计

EDA24进制计数器设计1. 任务背景计数器是计算机系统中常见的一种电路,用来实现对数字进行计数的功能。

传统的计数器一般是采用二进制表示数字,然而在某些特定的应用场景中,使用其他进制的计数器能够更方便和高效。

EDA24进制计数器是指使用24进制来表示数字的计数器。

24进制是一种特殊的进制,它由24个数字符号(0-23)组成,分别对应于十进制的0-9、字母A-J、字母K-T和字母U-Y。

使用24进制计数器可以更精确地表示某些特定范围内的数字,而且减少了数字的位数和转换过程中的计算复杂度。

本文将介绍如何设计一个EDA24进制计数器,包括计数器的原理、硬件设计和功能实现等方面的内容。

2. 原理介绍EDA24进制计数器的工作原理与传统的计数器类似,主要分为三个部分:计数器状态存储、计数器状态更新和计数器输出。

2.1 计数器状态存储EDA24进制计数器需要使用存储器来保存当前的计数器状态。

由于EDA24进制有24个数字符号,每个符号对应一个存储单元,因此需要一个24位的存储器来存储计数器的状态。

存储器的结构可以采用RAM或者寄存器等形式。

当计数器进行更新时,计数器状态存储器会读取新的计数器状态。

2.2 计数器状态更新EDA24进制计数器的计数逻辑与二进制计数器类似,但需要对进位的处理进行特殊处理。

在24进制下,当某一位达到23时,需要进行进位操作,并将低位的符号进行进位。

例如,当计数器达到23时,进位得到的数字为10(对应K),并将低位的数字进行滚动。

以一个4位的EDA24进制计数器为例,计数范围为0000~2323。

初始状态为0000,当计数值增加时,每一位的变化规律如下:•当个位(最低位)从0~2变化时,直接递增;•当个位达到3时,个位变为0,十位(倒数第二位)递增;•当十位从0~2变化时,直接递增;•当十位达到3时,十位变为0,百位(倒数第三位)递增;•当百位从0~2变化时,直接递增;•当百位达到3时,百位变为0,千位(最高位)递增;•当千位从0~2变化时,直接递增;•当千位达到3时,计数器归零。

24进制计数器原理

24进制计数器原理

24进制计数器原理1. 什么是24进制计数器24进制计数器是一种以24为基数的计数器,使用数字0至23,而不是传统的十进制计数器中的0至9。

它可以用于对时间、坐标或其他需要24小时制度的数据进行计数。

2. 24进制计数器的原理24进制计数器使用24个数字符号来表示数值,分别是0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F、G、H、I、J、K、L、M、N、O。

在24进制计数器中,每个位置上的数字与其他进制相同,从右到左表示权值依次增大。

最右侧的位置的权值为1,向左依次增大,第二个位置的权值为24,第三个位置的权值为24^2,以此类推。

每个位置上的数字的取值范围为0至23。

在24进制计数器中,当某个位置上的数字达到最大值23时,就会进位到更高位。

最高位上的数字为0,而进位后的位置的数字会重置为0。

这样循环往复,实现了24进制的计数。

3. 24进制计数器的应用3.1 时间表示24进制计数器可以用于表示时间。

传统的时间表示方式是以12小时为周期,上午和下午使用不同的标记,而24进制计数器可以将时间表示为连续增长的数值。

例如,午夜12点可以用00:00表示,中午12点可以用12:00表示。

下午1点可以用13:00表示,晚上9点可以用21:00表示。

这样就避免了传统时间表示方式中上午和下午的切换,使得时间的比较和计算更加方便。

3.2 坐标表示24进制计数器还可以用于表示坐标。

传统的坐标表示方式使用十进制,可能会有小数位,而24进制计数器可以将坐标表示为整数。

例如,某个位置的经度可以用24进制计数器表示为23:10,纬度可以用24进制计数器表示为18:05。

这样可以减少小数位的使用,简化坐标的表示和计算。

3.3 其他应用除了时间和坐标,24进制计数器还可以用于其他需要24小时制度的数据计数和表示。

例如,体育比赛的比分可以使用24进制计数器表示,24小时制的计时器可以使用24进制计数器表示时间等。

24进制计数器原理

24进制计数器原理

24进制计数器原理一、概述24进制计数器是一种基于二进制计数器的扩展,可以用来计算更大的数字。

它采用了24个不同的数字,分别为0-9和A-Q,每个数字占据4个二进制位。

在实际应用中,24进制计数器常用于时间、频率等领域。

二、二进制计数器在介绍24进制计数器之前,我们先来了解一下二进制计数器。

二进制计数器是由若干个触发器组成的,每个触发器都能存储一个比特位(0或1),并且能够控制下一个触发器的状态。

当所有触发器的状态从000...0到111...1时,就完成了一次循环。

三、24进制表示法在24进制表示法中,每个数字占据4个二进制位。

这样做的好处是可以使用现有的逻辑门电路来实现24进制计数器。

四、实现原理1. 计数范围由于每个数字占据4个二进制位,因此一个4位的24进制数字可以表示$2^4=16$种不同的状态。

如果使用n个这样的数字组成一个n*4位的数字,则可以表示$24^{n}$种不同状态。

例如:使用3个4位的数字组成12位数字,则可以表示$24^{3}=13824$种不同状态。

2. 递增逻辑递增逻辑是指在当前状态下,如何将计数器的值加1。

对于24进制计数器,可以使用以下方法:(1) 将最低位数字加1。

如果最低位数字小于23,则直接加1;否则将最低位数字置为0,同时将第二低位数字加1。

(2) 如果第二低位数字也等于23,则继续向高位进位,直到某一位的数字小于23为止。

3. 递减逻辑递减逻辑是指在当前状态下,如何将计数器的值减1。

对于24进制计数器,可以使用以下方法:(1) 将最低位数字减1。

如果最低位数字大于0,则直接减1;否则将最低位数字置为23,同时将第二低位数字减1。

(2) 如果第二低位数字也等于0,则继续向高位借位,直到某一位的数字大于0为止。

五、应用场景24进制计数器常用于时间、频率等领域。

例如,在一个以秒为单位的定时器中,如果采用二进制计数器,则需要至少30个比特才能表示一个小时($2^{30}$秒)。

eda24进制计数器设计

eda24进制计数器设计

设计一个EDA(电子设计自动化)工具的24进制计数器的基本步骤如下:
1. 构建基本逻辑单元:
在设计24进制计数器之前,首先需要构建基本逻辑单元,如触发器或锁存器,以便存储和处理计数。

2. 确定计数范围:
在开始设计前,需要确定计数器的计数范围。

例如,如果需要表示0到23的范围,则计数器需要能在该范围内有效计数。

3. 推导需求特征:
基于你选择的基本逻辑单元推导组合逻辑和时序逻辑特征,以设计恰当的计数器。

4. 设计状态机:
设计一个有限状态机(FSM),以观察计数器状态的转换并确保可靠性和稳定性。

5. 设计24进制加法器:
设计一个全加器以实现24进制数的加法操作。

由于24进制计数器每个位最高数值为23(用0-N表示,例如0-9、A-N或0-夜,按照标准24进制),因此需要考虑进位。

6. 链接基本组件:
将设计好的24进制加法器连接到触发器或锁存器,以更新计数值并从一个状态迁移到另一个状态。

7. 时钟控制:
引入时钟模块来控制计数器的工作节奏。

每次时钟周期到达时,计数器将更新计数值。

8. 设计复位和清零逻辑:
实现一个逻辑控制来负责复位及清零操作,将计数器重置为初始状态。

9. 进行仿真测试:
运行仿真测试,检查计数器在不同条件下的运行情况,确保其准确、可靠地工作。

10. 设计可视化界面:
创建一个可视化界面,以便于用户直观地获取计数器状态和计数过程。

数字电子钟的设计电路图pcb图

数字电子钟的设计电路图pcb图

数字电子钟的设计与制作一、设计概述1.设计任务➢时钟脉冲电路设计➢60进制计数器设计➢24进制计数器设计➢“秒”,“分”,“小时”脉冲逻辑电路设计➢“秒”,“分”,“小时”显示电路设计➢“分”,“小时”校时电路➢整点报时电路2.功能特性➢设计的数字钟能直接显示“时”,“分”,“秒”,并以24小时为一计时周期。

➢当电路发生走时误差时,要求电路具有校时功能。

➢要求电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点。

3.原理框图图 1 原理框图二、设计原理数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。

因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、校时电路、报时电路和振荡器组成。

干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路组成。

秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。

将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计时器,可实现对一天24小时的累计。

译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过六位LED七段显示器显示出来。

整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。

校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。

三、设计步骤1.计数器电路根据计数周期分别组成两个60进制(秒、分)和一个24进制(时)的计数器。

把它们适当连接就可以构成秒、分、时的计数,实现计时功能。

CC4518的符号如图,一个芯片集成了两个完全相同的十进制计数器,其异步清零信号CR是高电平有效。

24进制计数器的设计实验原理

24进制计数器的设计实验原理

24进制计数器是一种计数器,用于在基于24进制的系统中进行计数。

以下是24进制计数器的设计实验原理的基本步骤:
确定计数器位数:确定需要的计数器位数,以决定可以表示的计数范围。

例如,如果需要计数范围为0-23,需要至少4位二进制计数器。

设计逻辑电路:使用逻辑门和触发器等基本组件,设计一个适当的电路来实现24进制计数器。

可以使用不同的设计方法,如同步计数器或异步计数器。

确定计数器状态:确定计数器的各个状态,即在每个计数值时,计数器的输出应该是什么。

在24进制计数器中,状态可以表示为从00到23的不同值。

设计计数器电路:根据计数器位数和状态确定逻辑电路的连接和触发器的触发方式,以实现从一个状态到另一个状态的转换。

确保适当的电路延迟和稳定性。

进行仿真和测试:使用电路设计软件进行仿真和测试,验证计数器的功能和正确性。

检查计数器是否按预期计数,并在达到最大计数值时正确回滚到最小计数值。

制作电路原型:将电路设计制作成电路板或使用开发板进行实际硬件实现。

确保连接正确并进行电路调试。

进行计数器实验:将实现的24进制计数器连接到适当的输入和输出设备,并进行计数器实验。

检查计数器的行为和输出是否符合预期。

这些是24进制计数器的基本设计实验原理。

具体的设计步骤和实验要求可能会根据实验的具体目标和要求有所不同。

在进行设计和实验时,确保遵循正确的电路设计原则和实验安全规范。

二十四小时计时器

二十四小时计时器

二十四小时计时器目录1.项目任务描述 (1)2.24小时计时器流程图 (1)3.24小时计时器源程序 (2)3.1六十进制计数器 (2)3.2二十四进制计数器 (2)4.24小时计时器波形仿真 (3)4.1六十进制计数器波形图 (3)4.2二十四进制计数器波形图 (3)24小时计时器的设计1.项目任务描述:二十四小时计时器是利用特定原理来测定时间的装置,本设计运用Quartus ii 9.0软件以编程的方式实现计时器,计时器由两片六十进制计数器和一片二十四进制计数器构成,输入CLK为1HZ(秒)的时钟,经过60分频后产生1分钟时钟信号,再经过60分频后,产生1小时的时钟信号,最后进行24分频,得到1天的脉冲送COUT输出。

将两个60分频和一个24分频的输出,得到24小时的计时结果,并用数码管显示,所以利用软件分别对二十四进制计数器和六十进制计数器分别编程,编程顺利通过后再通过Quartus ii 9.0软件的波形仿真对二十四进制计数器和六十进制计数器分别仿真,仿真编译通过通过调试得到正确结果,进而验证计数器的正确性,其中60计数器运用ModelSim仿真。

2.24小时计时器流程图:3.24小时计时器源程序:本项目是通过两块六十进制的计数器,和一个二十四进制计数器构成的,所以在这里我们将单独给出二十四进制计数器和六十进制计数器。

运用Quartus ii 9.0软件分别对六十进制计数器和二十四进制计数器编程,调试直到程序编译成功。

3.1六十进制计数器源程序:module cnt60(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0]q;output reg cout;always@(posedge clk^j or negedge clrn)beginif(~clrn) q=0;else beginif(q==’h59) q=0;else q=q+1;if(q[3:0]==’h a) beginq[3:0]=0;q[7:4]=q[7:4]+1;endif(q==’h59) cout=1;else cout=0;endendendmodule3.2二十四进制计数器源程序:module cnt24(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0]q;output reg cout;always@(posedge clk^j or negedge clrn)beginif(~clrn) q=0;else beginif(q==’h23) q=0;else q=q+1;if(q[3:0]==’ha) beginq[3:0]=0;q[7:4]=q[7:4]+1;endif(q==’h23) cout=1;else cout=0;endendendmodule4.24小时计时器波形仿真运用Quartus ii 9.0软件仿真出二十四进制计数器和六十进制计数器的波形,通过波形的仿真可以看到波形的具体变化,实现六十进制计数器(如图4.1)和二十四进制计数器(如图4.2)的计数功能。

任意进制计数器示例

任意进制计数器示例

例4:试用74163构成模24同步计数器。
74163
CTRDIV16
1
5CT=0
M1
M2
1 1
ENT ENP
G3 G4
3CT=15 RCO
CP
C5/2,3,4+
1,5D [1] Q0 [2] Q1 [4] Q2 [8] Q3
74163
CTRDIV16
1
5CT=0
M1
M2
ENT
ENP
1
G3 G4
3CT=15 RCO
C5/2,3,4+
1,5D [1] Q4 [2] Q5 [4] Q6 [8] Q7
LD
&
模24同步计数器
例5:试用74160构成模24同步计数器。
分析: (1) 两片74160级联可以实现两位8421BCD计数器,
其模为100,因此模24计数器需用两片74160构成。 (2)模24计数器可从0计到23,而23的8421BCD为
例4:试用74163构成模24同步计数器。
分析: (1) 两片74163级联可以实现8位二进制计数器,其
模为256,因此模24计数器需用两片74163构成。 (2)模24计数器可从0计到23,而23的二进制数为
00010111。因此当用反馈置位法构成模24进制计数器时, 产生同步置数控制信号(LD非)的反馈逻辑与非门的 输入信号为Q4Q2Q1Q0。
0010 0011 。因此当用反馈置位法构成模24进制计数器 时,产生同步置数控制信号(LD非)的反馈逻辑与非 门的输入信号为Q5Q1Q0。
例5:试用74160构成模24同步计数器。
74160
CTRDIV10
1

24进制计数器

24进制计数器

学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:同步计数器专业名称:电子科学与技术班级:32050801学号:3205080127学生姓名:柯斌教师姓名:程鸿亮2010年_11月 13日组别_____________________同组同学李福来实验日期2011年11月13日实验室名称成绩_____一、实验名称:同步计数器二、实验目的与要求:设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的VHDL描述方法以及异步清零的描述方法。

三、实验内容:通过VHDL编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端四、实验条件:1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理:clk:计数时钟脉冲输入;clr:异步清零信号,高电平有效,此时输出显示为“00”ten[6..0]:十位数的7段数码管显示输出;one[6..0]:个位数的7段数码管显示输出;六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-------------------------------entity cnt24 isport(clk,clr:in std_logic;ten,one:out std_logic_vector(6 downto 0);co:out std_logic);end entity cnt24;---------------------------architecture str of cnt24 issignal ten_temp,one_temp:std_logic_vector(3 downto 0); begin--------------------------process(clr,clk) isbeginif (clr='1') thenten_temp<="0000";one_temp<="0000";co<='0';elsif (clk'event and clk='1') thenif(one_temp="0011" and ten_temp="0010") then one_temp<="0000";ten_temp<="0000";co<='1';elsif(one_temp="1001") thenten_temp<=ten_temp+1;one_temp<="0000";elseone_temp<=one_temp+1;end if;end if;end process;----------------------------process(one_temp) isbegincase one_temp iswhen"0000"=>one<="1111110";when"0001"=>one<="0110000";when"0010"=>one<="1101101";when"0011"=>one<="1111001";when"0100"=>one<="0110011";when"0101"=>one<="1011011";when"0110"=>one<="1011111";when"0111"=>one<="1110000";when"1000"=>one<="1111111";when"1001"=>one<="1111011";when others=>one<="1111110"; end case;end process;---------------------------------- process(ten_temp)isbegincase ten_temp iswhen"0000"=>ten<="0000000";when"0001"=>ten<="0110000";when"0010"=>ten<="1101101";when others=>ten<="1111110"; end case;end process;-----------------------------------end str;七、实验结果与分析:1,RTL仿真如下:2,时序仿真结果:3,代码下载后的部分实例:计数到23时,无进位。

24进制计数器记录表

24进制计数器记录表

24进制计数器记录表24进制计数器记录表是一种记录24进制数字的表格或工具。

在日常生活中,我们通常使用十进制计数系统,其中包含0-9这10个数字。

然而,在某些领域,如计算机科学、时间表示和地理坐标等,使用24进制计数系统可能更加方便和实用。

在24进制计数系统中,我们使用0-9这10个数字和字母A-N这15个字符来表示数字。

每个位置上的数字可以从0递增到23,然后再递增到下一个位置。

例如,24进制计数器记录表中的第一行可能是从00到0N,第二行是从10到1N,以此类推。

通过使用24进制计数器记录表,我们可以方便地记录和跟踪24进制数字的变化。

这在某些领域中特别有用,例如时间表示。

在24小时制中,时间从00:00到23:59,而在24进制计数器记录表中,我们可以直接使用00到0N来表示时间。

这样,我们可以更直观地理解和计算时间的变化。

此外,24进制计数器记录表还可以应用于地理坐标的表示。

在某些地理坐标系统中,经度可以使用24进制计数系统表示,例如从00到0N代表从0度到23度59分。

这样,我们可以更准确地标记和计算地理位置。

在计算机科学领域,24进制计数器记录表可以用于存储和管理大量数据。

例如,假设我们有一个需要唯一标识的数据集,其中有超过10个十进制数字的可能性。

使用24进制计数器记录表,我们可以将每个数据项表示为一个24进制数字,从而大大减少所需的存储空间。

总而言之,24进制计数器记录表是一个方便记录和跟踪24进制数字的工具。

它在时间表示、地理坐标和数据存储等领域都有广泛的应用。

通过使用24进制计数器记录表,我们可以更方便地理解和计算24进制数字的变化。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计24进制计数电路
专业:XXX 班级:XXX
姓名:XXX
学号:888
指导老师:XXX
一、计数器简介
计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D 触发器及JK触发器等。

计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

计数器按照计数进制把计数器分为二进制计数器、十进制计数器等等。

本设计是24进制计数器,为数字钟模块之一。

二、计数器设计框图
三、
通过单刀双掷开关选择计数脉冲
选择计数脉冲,其中,按钮式计数脉冲输入端,每按下一次,计数加一。

四、秒计数脉冲
555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。

该电路有一个秒脉冲控制端,用于开启及停止脉冲输入。

由多谐振荡器原理,结合上图可知其振荡周期T=T1+T2。

T1为电 容充电时间,T2为电容放电时间。

充电时间:C )R R (7.02Cln )R R (T 21211+≈+=
放电时间:C R 7.0Cln2R T 222≈=
矩形波的振荡周期:)C 2R R 7.0)C 2R ln2(R T T T 212121+≈+=+=(
555组成的多谐振荡器实际电路参数R1 = R2 =510 K
RC 振荡器电容为1uF ,五号管脚所接的Cs 为10PF 。

所以其振荡周期: 1.071s 0.7*1.53)C R 0.7(R )Cln2R (R T T 21211==+≈+== 所以其周期为约为1s.
五、二十四进制计数器
利用74ls160把高位和低位端的脉冲通过一个与非门同时送入高位和低位的MR 非端,同时清为二十四进制。

六、译码显示
显示器引脚从右到左,1,2,3,4。

为了准确的显示出时间,需要用显示器显示出来。

右图显示器包含了译码功能,所以无需专门的译码器。

正确的引脚连接方式为:Q0接1,Q1接2,Q2接3,Q3接4 七、清零复位端
按下清零按钮后计数器清零,数码管显示为1.
八、总结体会
通过这次课程设计,我对于74L系列有了更深的了解,知道功能表后,一切芯片都能得心应手。

而且,知道了计数器的设计方法,以后可以进一步设计多进制计数器和时钟电路。

在研究该如何设计电路使之实现所需功能的过程中,培养了我的设计思维,增强了动手能力。

在改进电路的过程中,同学们共同探讨,最后的电路已经比初期设计有了很大提高。

在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐,加深了我对设计方面的兴趣。

理论与实践得到了很好的结合。

附1:设计总电路图
附2:电路仿真图
图1 起始运行
图2 接入秒脉冲仿真图3进行手动复位
图4 接入按钮计数
图5 计数为24时复位为显示0。

相关文档
最新文档