EDA课程设计数字频率计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计报告

(理工类)

课程名称:EDA技术专业班级:

学生学号:学生姓名:X X 所属院部:物电学院指导教师:XXX 2011——2012学年第2学期

目录

1.设计目的和要求 (3)

1.1课程设计目的与要求 (3)

1.2课程设计内容 (3)

1.3设计原理 (3)

2.仪器和设备 (5)

2.1MAX+PLUSI软件介绍 (5)

2.2MAX+PLUSⅡ软件组成 (5)

3.设计过程 (6)

3.1设计内容和要求 (6)

3.2设计方法和开发步骤 (6)

3.2.1设计方案 (6)

3.2.2实验步骤 (6)

3.3设计思路 (7)

3.4设计难点 (7)

4.设计结果与分析 (8)

4.1实验结果 (8)

4.1.1测频控制信号发生器 (8)

4.1.2十进制计数器 (8)

4.1.3锁存器 (8)

4.1.4动态扫描输出 (9)

4.1.5七段译码器 (9)

4.1.6顶层模块 (10)

4.2程序简要说明 (10)

4.2.1测频控制信号发生器的结构体VHDL源程序 (10)

4.2.2十进制加法计数器的结构体VHDL源程序 (11)

4.2.3锁存器的结构体VHDL源程序 (12)

4.2.4动态扫描的结构体VHDL源程序 (13)

4.2.5数码管显示的结构体VHDL源程序 (13)

4.2.6顶层模块波形仿真 (15)

5.实验小结 (16)

参考文献 (17)

1.设计目的和要求

1.1课程设计目的与要求

1.学会利用MAX+PLUSII进行层次化设计,并进行编译仿真;

2.掌握数字频率计电路的设计原理;

3.掌握运用VHDL语言进行系统设计的方法;

4.输入顶层电路图和下层设计文件;

5.利用仿真手段进行功能调试。

1.2课程设计内容

分析数字频率计的功能,完成功能模块的划分,分别用VHDL语言完成底层模块的设计和以原理图的方法完成顶层模块的设计,分别对各个模块以及顶层模块进行仿真分析,最后在硬件开发平台上进行测试。

1.3设计原理

频率信是常用的测量仪器,它通过对单位时间内的信号脉冲进行计数,从而测量出信号的频率。设计一个6位频率计,可以测量1~999999Hz的信号频率。

频率计工作时,先要生产一个计数允许信号即闸门信号,闸门信号的宽度为单位时间,例如1s。在闸门信号有效的时间内对被测信号计数,即为信号评率。测量过程结束,需要锁存计数值或留出一段时间显示测量值。下一次测量前,应该对计数器清零。频率计闸门时序如图1所示,闸门信号由闸门电路产生。

计数显示清零计数

计数允许信号

清零信号

图1频率计闸门时序

频率计可以分为3个部分:闸门电路、计数器和显示电路。本实验中,闸门电路时钟为2Hz,产生的技术周期为1s,清零周期为0.5s,4s为一个周期测量一次信号频率。计数器由6个十进制计数器构成i端,受闸门电路控制。显示电

路利用实例7设计的6位扫描数码显示器,他的扫描时钟可以使用1kHz或10kHz 的时钟。

数字频率计的关键组成部分包括测频控制发生器、计数器、锁存器、动态扫描输出,其原理框图如图2所示:

图2数字频率计原理框图

2.仪器和设备

PC机,MAX+PLUSI软件

2.1MAX+PLUSI软件介绍

MAX+PLUSⅡ开发工具是Altera公司推出的一种EDA工具,具有灵活高效、使用便捷和易学易用等特点。使用MAX+PLUSⅡ软件,设计者无需精通器件内部的复杂结构,只需用业已熟悉的设计输入工具,如硬件描述语言、原理图等进行输入即可,MAX+PLUSⅡ就会自动将设计转换成目标文件下载到器件中去。

MAX+PLUSⅡ开发系统具众多特点,如多平台、开放的界面、模块组合式工具软件、与结构无关、支持硬件描述语言、丰富的设计库等。使用MAX+PLUSI软件可以使我们在较短的时间内完成相应的内容。

2.2MAX+PLUSⅡ软件组成

MAX+PLUSⅡ软件采用模块化结构,包括设计输入、项目处理、项目校验和器件编程4个部分,所有这些部分都集成在一个可视化的操作环境下。

1、设计输入:MAX+PLUSⅡ的设计输入方法有多种,主要包括文本设计输入、原理图输入、波形设计输入等多种方式;

2、项目处理:设计处理的任务就是对项目进行编译,编译实际就是将设计者编写的设计改为可以用于生产的“语言”。编译器通过读入设计文件并产生用于编程、仿真和定时分析的输出文件来完成编译工作;

3、项目校验:MAX+PLUSⅡ提供的设计校验过程包括仿真和定时分析,项目编译后,为确保设计无误,要再用专用软件进行仿真。如果发现了错误,则应对设计输入进行部分修改直至无误;

4、器件编程:MAX+PLUSⅡ通过编程器将编译器生成的编程文件编程或配置到Altera CPLD器件中,然后加入实际激励信号进行测试,检查是否达到了设计要求。

在设计过程中,如果出现错误,则需要重新回到设计输入阶段,改正错误或调整电路后重复上述过程。

3.设计过程

3.1设计内容和要求

本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA 技术,掌握频率计的原理。在掌握所学的计算机组成与结构课程理论知识时。通过对数字频率计的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。

分析数字频率计的功能,完成功能模块的划分,分别用VHDL语言完成底层模块的设计和以原理图的方法完成顶层模块的设计,分别对各个模块以及顶层模块进行仿真分析,最后得出实验结果。

3.2设计方法和开发步骤

3.2.1设计方案

1、间接测频法(测周法):

测量单位时间内被测信号的周期数,即在闸门时间内对被测信号的脉冲进行计数。若闸门打开时间为T,被测信号通过闸门进入计数器的计数值为Nx,则可求的被测信号的频率fx=Nx/T,当闸门时间T=1s时,fx=Nx(Hz),即计数器计数值就是被测信号的频率值。当被测信号频率范围不同的时候,通常会选择不同的闸门时间,以得到较精确的测量结果。

2、直接测频法:

被测信号的频率fx应远大于产生闸门信号的基准频率,否则测频将产生较大的误差。此处仅以闸门时间T=1s为例进行设计,由于闸门信号的起始时间与被测信号的边沿不同步,因此计数结果会有+1或—1的误差,假设该误差可在允许范围内。

由于直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。本设计采用了直接测量法,在一定闸门时间内测量被测信号的脉冲个数。

3.2.2实验步骤

打开MAX+PLUSII软件→新建.vdh文本文件→输入代码并保存→单击“file”

相关文档
最新文档