数电课设数字电子钟

合集下载

数字电路课程设计——电子钟

数字电路课程设计——电子钟

电气工程学院数字电子电路课程设计报告书姓名:班级:学号:组员:完成日期:分数:设计过程(四)设计方案使用四个十进制计数器(74160N)设计成两个60进制计数器,分别表示“分”和“秒”。

再用两个十进制计数器(74160N)设计成一个24进制计数器,表示“时”。

用LED 7段数码显示器(DCD_HEX)分别显示“时”和“分”,指示灯(PROB_RED)闪烁来表示“秒”。

电路结构图:二.上机设计与仿真结果1.十进制计数器(74160N):74160N是同步十进制计数器,计数状态0000~1001共10个,从0000开始计数,直到第九个计数脉冲为止,重新开始计数,当EP=ET=1,RD'=LD'=0时,电路工作在工作状态,从0000开始计数,连续输入10个计数脉冲。

自动电子钟24进制60进制60进制时模块分模块秒模块时显示分显示秒闪烁设计过程2.上机设计电路图:60进制计数器U1674160NQA14QB13QC12QD11RCO15A3B4C5D6ENP7ENT10~LOAD9~CLR1CLK2U1774160NQA14QB13QC12QD11RCO15A3B4C5D6ENP7ENT10~LOAD9~CLR1CLK2U18A4012BP_5VVCC5V24十进制计数器设计过程3.仿真结果:三.软件实验方案及实验结果1.自动电子钟整体设计十进制计数器(74160N)采用整体置数方式(输入端整体置0)设计成60进制、60进制、24进制,分别表示分、秒、时;秒的CLK脉冲信号源于数字信号发生器(XFG1),分的脉冲信号CLK源于秒的进位输出信号,时的脉冲信号CLK源于进位输出信号;“时”“分”计数器的输出状态用LED 七段显示器(DCD_HEX)显示,“秒”由指示灯的闪烁来表示;计数满24 小时则整体置零,重新计数。

2.自动电子钟各模块的实现(1)时模块两个十进制计数器(74160N)通过整体置数法设计成24进制计数器,计数状态为00000000~00100011,即0~23,EP=ET=RD'=LD'=1时,计数器开始计数,累加24小时后从00000000重新计数,当RD'=1,LD'=时的进位输出信号时,计数器进行整体置数,置为00000000重新计数,仿真结果如图所示:设计过程(2)分模块两个十进制计数器(74160N)通过整体置数法设计成60进制计数器,计数状态为00000000~01011001,即0~59,EP=ET=RD'=LD'=1时,计数器开始计数,每累加60分发送一个“时脉冲”信号,重新从00000000开始计数,脉冲信号源为秒的进位输出信号,当RD'=1,LD'=分的进位输出信号时,计数器进行整体置数,置为00000000重新计数,仿真结果如图所示:设计过程(3)秒模块两个十进制计数器(74160N)通过整体置数法设计成60进制计数器,计数状态为00000000~01011001,即0~59,EP=ET=RD'=LD'=1时,计数器开始计数,每累加60秒发送一个“分脉冲”信号,重新从00000000开始计数,脉冲信号源为数字信号发生器(XFG1),当RD'=1,LD'=秒的进位输出信号时,计数器进行整体置数,置为00000000重新计数。

(完整)数电课程设计数字电子钟的设计与制作

(完整)数电课程设计数字电子钟的设计与制作

(完整)数电课程设计数字电子钟的设计与制作编辑整理:尊敬的读者朋友们:这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)数电课程设计数字电子钟的设计与制作)的内容能够给您的工作和学习带来便利。

同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。

本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)数电课程设计数字电子钟的设计与制作的全部内容。

一、设计目的数字电子技术是工科专业的一门专业基础课,该课程理论与实践联系密切,系统性强,课程设计是本课程教学中必不可少的环节,通过设计可以使学生初步掌握基本的数字电路设计方法和技能,进一步加深对数字电子技术课程的理解,掌握数字电子系统的组成和设计方法以及系统的调试方法,熟悉常用数字芯片的功能及使用方法,为后续课程的学习奠定坚实基础。

二、设计任务1、用给定的数字集成电路设计制作一个数字电子钟。

2、基本功能:具有时、分、秒计时功能,用六位数码管和LED显示“XX:XX:XX"(最大显示23:59:59),要求计时准确,能够调整时间。

除电源外其它部分均需自行设计制作。

3、扩展功能:有整点报时功能;时分秒之间的间隔符“:”按秒跳动。

三、设计要求基本要求:1、根据给定的器件设计电路,画出电路原理图,仿真实现所设计功能.2、制作实际电路并测试,用自己设计的秒脉冲源作计时脉冲,+5V电源由实验室提供。

要求制作工艺良好,电路能正常稳定工作。

3、写出设计总结报告,除报告封面和电路图可以打印外,其它内容均必须手写(复印、打印的一律不及格)。

扩展要求:完成扩展功能四、所需元器件及材料IC:CD4518三块、CD4040、CD4060、CD4081各一块、CD4543六块,DIP16IC插座12个;其他器件:共阴数码管(CL5011AH)6个,红色LED4个,石英晶振32768HZ一个,电阻220Ω44个,220K、10M各1个,51P瓷片电容2个,轻触开关4个,8针接插件3个,4针接插件1个,9cm*15cm万能板两块、红、黑色导线各1卷,黄、蓝色导线各2卷、焊锡2卷。

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃

数字电路课程设计电子数字钟+闹铃数字电路课程设计院系:专业:电子信息工程姓名:学号:完成日期:2021 数字钟的设计一、系统功能概述、系统实现的功能:1、具有“时”、“分”、“秒”的十进制数字显示。

2、具有手动校时、校分、校秒的功能。

3、有定时和闹钟功能,能够在设定的时间发出闹铃声。

4、能进行整点报时。

从59分50秒起,每隔2秒发一次低音“嘟”的信号,连续5次,最后一次为高音“嘀”的信号。

、各项设计指标:1、显示部分采用的6个LED显示器,从高位至低位分别显示时、分、秒。

2、有一个设置调闹钟定时时间、正常时间的按钮,选择调的对象。

3、有三个按钮分别调时、分、秒的时间。

4、有一个按钮用作开启/关闭闹铃。

5、另外需要两个时钟信号来给系统提供脉冲信号,使时钟和闹钟正常工作,分别为1Hz、1kHz的脉冲。

二、系统组成以及系统各部分的设计 1、系统结构描述 //要求:系统结构描述,各个模块的功能描述;系统的顶层文件:1、顶层文件图:2、各模块的解释:、7个输入量clk_1khz、clk_1hz、key_slt、key_alarm、sec_set、min_set、hour_set:其中clk_1khz为闹铃模块提供时钟,处理后能产生“嘟”、“嘀”和变化的闹铃声音;clk_1hz为计时模块提供时钟信号,每秒计数一次;key_slt选择设置对象:定时或正常时间;key_alarm能够开启和关闭闹铃;sec_set、min_set、hour_set用于设置时间或定时,与key_slt 相关联。

各按键输出为脉冲信号。

、CNT60_A_SEC模块:这个模块式将clk_1hz这个时钟信号进行60进制计数,并产生一个分钟的触发信号。

该模块能将当前计数值实时按BCD码的格式输出。

将该输出接到两位LED数码后能时时显示秒的状态。

通过alarm_clk可以选择设置对象为时间还是定时值。

在设置时间模式上,key上的一个输入脉冲可以将clk的输入信号加一。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数电课程设计-数字电子钟PPT课件

数电课程设计-数字电子钟PPT课件
5
3 设计方案的选择与论证
数字电子钟系统框图如下:
图3.1 数 字 电 子 钟 系 统 框 图
6
3 设计方案的选择与论证
3.1) 时间脉冲产生电路
振荡器是数字钟的核心。振荡器的稳定度及频率的 精确度决定了数字钟计时的准确程度。
由集成逻辑门与RC组成的时钟源振荡器或由集成 电路定时器555与RC组成的多谐振荡器作为时间 标准信号源。
本实验中采用4040来构成分频电路。CD4040计数 为最高为12级2进制计数器,可以将32767HZ的信 号先分频为8HZ,再分为1HZ的信号。如图4.1所示 ,可以直接实现振荡和分频的功能。
16
4 电路设计计算与分析
4.2) 时、分、秒计数器
数字钟的计数电路用两个六十进制计数电路和24进 制计数电路实现的。
数字电子钟设计目的数字电子钟设计目的设计任务和要求设计任务和要求设计方案的选择与论证设计方案的选择与论证电路设计计算与分析电路设计计算与分析元器件明细表元器件明细表11掌握数字钟的设计掌握数字钟的设计22熟悉集成电路的使用方法熟悉集成电路的使用方法11显示显示时时分分秒22可以可以2424小时制或小时制或1212小时制小时制
本设计校时电路是将各个位上的使能端引出接一个 单刀双掷开关,一端(1端)接低位的进位信号,另 一端(2端)接校时电路。校正某位上的时间时,可 以将相应位的开关接到2端,通过拨动校时电路就能 实现校时功能。
12
3 设计方案的选择与论证
3.5) 整点报时电路
一般时钟都应具备整点报时电路功能,即在时间出 现整点前数秒内,数字钟会自动报时,以示提醒。
J2是时校正开关。不校正时,J2开关是连接上面的 ,即连接正常计数。当校正时位时,首先截断正常的 计数通路,然后再进行人工出触发计数加到需要校正 的计数单元的输入端,校正好后,再转入正常计时状 态即可。

数电课程设计数字钟

数电课程设计数字钟

数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。

技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。

课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。

学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。

教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。

通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。

二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)

电子数字时钟课程设计报告(数电)第一篇:电子数字时钟课程设计报告(数电)数字电子钟的设计1.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。

而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。

且由于数字钟包括组合逻辑电路和时叙电路。

通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标1.时间以12小时为一个周期;2.显示时、分、秒;3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 1.2 设计要求1、电路设计原理说明2、硬件电路设计(要求画出电路原理图及说明)3、实物制作:完成的系统能达到题目的要求。

4、完成3000字的课程设计报告2.功能原理2.1 数字钟的基本原理数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。

工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。

将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。

“时计数器”采用24进制计数器,可以实现24小时的累计。

LED数码管将“时、分、秒”计数器的输出状态显示。

校时电路是来对“时、分、秒”显示数字进行校对调整。

2.2 原理框图3.功能模块3.1 振荡电路多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形波形(自激振荡)。

数字电路课程设计—数字电子钟

数字电路课程设计—数字电子钟

数字电路课程设计—数字电子钟课题任务:1.由晶振电路产生1HZ标准秒信号2.秒、分为六十进制计数器。

3.时为二十四进制计数器。

4.准确计时,以数字形式显示时、分、秒。

5.具有整点报时功能,整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。

参考器件:CD4060、74LS161、74LS248J、晶振、开关、喇叭、阻容元件及门电路等。

设计要求:2、进行总体方案设计与论证,画框图;3、进行单元电路的设计;4、元器件选择与参数计算;5、用四号图纸绘制原理图;6、撰写设计说明书,字数不得少于2500字;参考文献。

数字电路的课程设计,那学期开学我就一直期待了,我喜欢电子制作,更何况这与学习牵上了,就像小时候等待放假过年一样,终于等到了它的到来。

我欣喜若狂,打算两周之内要把实物做出来。

第一周开始找资料设计电路图,74LS161为常用的4位同步二进制加计数器,并且还具有并行数据的同步预置功能。

多个74LS161可以实现无限进制计数,由74LS161组成的60进制及24进制制作的数字电子钟必须另加数码管译码器。

由于我以前用过CD40110这块芯片,所以很快就想到了它,40110是十进制加减计数/译码/锁存/驱动集成一体的CMOS 芯片,输出电流驱动能力大,可以直接接数码管显示。

两者比较,同样的功能74LS161使用的芯片就多于40110。

CD40110逻辑功能如下:第一周里,我把自己设计的电路经过一些单元模块的调试,都成功了,马上购买元件,不得不用了快递,元件邮递过来的期间开始画PCB板,并制作电路板,元件到时就可以安装了。

装好后电路存在许多问题,我两天两夜没有睡觉,就呆在实验室调试,就剩2天了,一些小问题仍没有解决,但必须得开始写论文了。

我又到图书馆查找芯片的具体资料,论文根据自己的制作一个一个字往上打的,总共附有20张图片和框图,都是自己画的。

还高兴的把自己做的实物照片与PCB板图附上了,那时候班上没有几个会使用Protel 的。

数字电子技术课程设计之数字电子钟

数字电子技术课程设计之数字电子钟
智能家居领域
工业自动化领域
医疗健康领域
感谢您的观看
THANKS
接口设计
采用并行或串行接口与主控制器连接,实现数据的快速传输和实时更新显示内容。
软件编程实现方法论述
初始化模块:在程序开始时,需要对相关硬件进行初始化,如设置IO口输入输出模式、配置定时器中断等。同时,也需要对软件相关变量进行初始化,如清零计数器、设置初始时间等。
分模块调试:在编写程序时,可以将整个程序划分为多个模块进行分别调试。通过逐个模块进行测试和验证,可以更容易地定位和解决问题。
实物制作与测试环节展示
推荐使用Altium Designer或Eagle等专业PCB设计软件,确保设计精度和效率。
选择合适的PCB设计软件
根据实际需求设定信号层、电源层和地层等,注意层间距离和走线规则。
设定合理的板层结构
遵循“先大后小,先难后易”的布局原则,优先布置核心元器件和关键信号线;布线时尽量缩短走线长度,减少交叉和环路。
创新意识培养
数字电子钟可作为家居装饰的一部分,增添现代感和科技感。
家居装饰
在车站、广场等公共场所设置数字电子钟,方便人们获取准确时间信息。
公共场所
为盲人、视障人士等设计具有语音报时功能的数字电子钟,满足特殊需求。
特殊应用
数字电子钟基本原理与组成
通过振荡器产生稳定的脉冲信号,作为数字电子钟的时钟源。
布局布线优化
结果分析
记录测试结果,与设计指标进行对比分析;针对存在的问题进行排查和改进,优化设计方案。
测试方法
使用示波器、万用表等测试工具,按照设计指标对数字电子钟的各项功能进行测试,包括时间显示、定时功能、闹钟功能等。
调试技巧
在测试过程中注意观察和记录异常现象,结合电路原理和实际经验进行逐步排查;对于复杂问题可采用分模块调试的方法,提高调试效率。

数字电路课程设计数字电子钟

数字电路课程设计数字电子钟

数字电路逻辑设计课程设计学校:学院:专业班级:姓名:学号:同组人:课程设计题目数字电子钟设计要求1. 设计一个具有时、分、秒显示的电子钟(23小时59分59秒)。

2. 该电子钟应具有手动校时、校分得功能。

3. 整点报时。

从59分50秒起,每隔2s发出一次“嘟”的信号。

连续5次,最后1次信号结束即达到正点。

设计方案1. 数字电子钟基本工作原理和整体设计方案数字钟实际上是一个对标准频率进行计数的计数电路。

它的计时周期是24小时,由于计数器的起始时间不可能与标准时间(如北京时间)一致所以采用校准功能和报时功能。

数字电子钟是由石英晶体振荡器、分频器、计数器、译码器、显示器和校时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器,计数结果通过时、分、秒译码器显示时间。

秒脉冲是整个系统的时基信号,它直接决定计时系统的精度,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。

“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。

时计数器采用24进制计时器,可实现对一天24小时的计时。

译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED七段显示器显示出来。

整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一音频发生器实现报时。

校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。

数字电子钟逻辑框图如下:2. 数字电子钟单元电路设计、参数计算和元件芯片选择(1)石英晶体振荡器和分频器石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。

它还具有压电效应,在晶体的某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时钟课程设计课程设计报告设计题目:数字电子时钟的设计与实现班级:学号:姓名:指导教师:设计时间:摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。

诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。

功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。

通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。

通过仿真过程也进一步学会了Multisim7的使用方法与注意事项。

本次所要设计的数字电子表可以满足使用者的一些特殊要求,输出方式灵活,如可以随意设置时、分、秒的输出,定点报时。

由于集成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。

关键词:数字钟,组合逻辑电路,时序电路,集成电路目录摘要 (1)第1章概述············································3第2章课程设计任务及要求·······························42.1设计任务············································42.2设计要求············································4第3章系统设计··········································63.1方案论证············································63.2系统设计············································63.2.1结构框图及说明·································63.2.2系统原理图及工作原理···························73.3单元电路设计········································83.3.1单元电路工作原理·······························83.3.2元件参数选择···································14第4章软件仿真·········································154.1仿真电路图··········································154.2仿真过程············································164.3仿真结果············································16第5章安装调试··········································175.1安装调试过程········································175.2故障分析············································17第6章结论···············································18第7章使用仪器设备清单··································19参考文献·················································19收获、体会和建议·········································20第1章概述数字集成电路的出现和飞速发展,以及石英晶体振荡器的广泛应用,使得数字钟的精度稳定度远远超过了老式的机械表,用数字电路实现对“时”、“分”、“秒”数字显示的数字钟在数字显示方面,目前已有集成的计数、译码电路,它可以直接驱动数码显示器件,也可以直接采用才COMS--LED光电组合器件,构成模块式石英晶体数字钟。

数电课程设计数字电子钟报告

数电课程设计数字电子钟报告

数字电子技术课程设计报告题目:数字钟的设计与制作时间:09-10学年第二学期18-19周院校:武汉纺织大学班级:测控081组员:夏亦冰李艳飞田传雪吴哲伦数字电子技术课程设计报告一.设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二.实现功能1.要求内容1)时以24为周期2)分和秒以60为周期3)能显示时、分、秒4)具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间2. 发挥内容1)星期的显示2)计时过程具有报时功能三.元器件1.洞洞板2块2.0.47uF电容1个3.100nF电容1个4.共阴八段数码管7个5.网络线10米6.CD4511集成块7块7.CD4060集成块1块8.74HC390集成块4块9.74HC51集成块1块10.74HC00集成块4块11.74HC30集成块1块12.10MΩ电阻5个13.74HC00集成块4块14.L7805三端稳压管1个15.30pF瓷片电容2个16.9V电池1块17.单刀双掷开关2个18.单刀单置开关1个19.74HC10集成块1块各个芯片引脚图1.CD74HC3902.L7805稳压管3.CD4060 4.CD4511 5.74HC10 6.74HC307.74HC518.74HC00四、原理框图1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ 时间信号必须做到准确稳定。

数电课程设计之数字钟

数电课程设计之数字钟

课程设计任务书学生姓名: XXX 专业班级:指导教师:工作单位:题目: 多功能数字钟电路设计初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。

要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。

2.秒、分为00-59六十进制计数器。

3.时为00-23二十四进制计数器。

4.可手动校正:能分别进行秒、分、时的校正。

只要将开关置于手动位置。

可分别对秒、分、时进行连续脉冲输入调整。

5.整点报时。

整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。

时间安排:第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一指导教师签名:年月日系主任(或责任教师)签名:年月日多功能数字钟电路设计摘要 (1)Abstract (2)1系统原理框图 (3)2方案设计与论证 (4)2.1时间脉冲产生电路 (4)2.2分频器电路 (6)2.3时间计数器电路 (7)2.4译码驱动及显示单元电路 (8)2.5校时电路 (8)2.6报时电路 (10)3单元电路的设计 (12)3.1时间脉冲产生电路的设计 (12)3.2计数电路的设计 (12)3.2.1 60进制计数器的设计 (12)3.2.2 24进制计数器的设计 (13)3.3译码及驱动显示电路 (14)3.4 校时电路的设计 (14)3.5 报时电路 (15)3.6电路总图 (17)4仿真结果及分析........................................... 错误!未定义书签。

4.1时钟结果仿真....................................... 错误!未定义书签。

4.2 秒钟个位时序图..................................... 错误!未定义书签。

数电课程设计(电子钟)

数电课程设计(电子钟)

数字电子技术课程设计周树强设计任务与要求:1.显示时、分、秒。

可以24小时制或12小时制。

2.具有校时功能,分别对时、分、秒单独校时。

校时时钟源可以手动输入或借用电路中的时钟。

3.具有正点报时功能,正点前10秒开始,蜂鸣器1秒响1秒停地响5次。

4.秒信号可用555定时器或晶体振荡器构成。

设计方案1.本次设计的总体电路整体工作原理大体描述如下:(1)首先,由555定时器组成一个多谐振荡器得到1HZ的秒脉冲,秒脉冲发生器的输出端接到每个计数器的时钟输入端。

(2)数字钟的分、秒计数部分均为六十进制计数器(显示00~59),采用两片74LS160来实现。

个位为十进制,十位为六进制,当个位计数到9时,再来一个脉冲变成0,同时产生一个进位信号,给十位提供一个脉冲,使十位计数加1。

而数字钟的时计数部分为二十四进制计数器(显示00~23),也是采用两片74LS160实现。

当开始计数时,个位按十进制计数,当计到23时,这时再来一个脉冲,回到“零”。

所以,这里必须使个位既能完成十进制计数,又能在高低位满足“23”这一数字后,十计数器清0,图中采用了十位的2和个位的3相“与非”后再清0。

当秒计数器计到59时,再来一个脉冲变成00,同时产生一个进位信号给分计数器的CP输入端;当分计数器计到59时,再来一个脉冲变成00,同时产生一个进位信号给时计数器的CP输入端;当时计数器计到23时,再来一个脉冲变成00。

2.整体框架图3.脉冲产生电路(1)制作数字电子钟需要一个1Hz的脉冲,可以用石英晶体振荡器或者555振荡器,它们各有优缺点。

(2)石英晶体振荡器石英晶体振荡器的特点是振荡频率准确,电路结构简单,频率易调整. (3)555定时器是一种多用途的数字-模拟混合集成电路,可以很方便构成多谐振荡器.只要搭配上合适的电阻R1,R2,电容C1就可以产生所需要的脉冲信号. (4)我选用的555定时器产生作为脉冲发生频率计算T=TPH+TPLTPH对充电时间 TPH=0.7(R1+R2)CTpL对充电时间 TPL=0.7R2C振荡周期 T=TPH+TPL=0.7(R1+2R2)C图1是multisim下用电路向导做出来的脉冲,图2为自己根据公式设计出的图1 图24.74LS160为十进制同步加法计数器逻辑功能描述如下:由逻辑图与功能表知,在CT74LS160中LD为预置数控制端,D0-D3为数据输入端,C为进位输出端,RD为异步置零端,Q0-Q3位数据输出端,EP和ET为工作状态控制端。

数电课设《数字钟》

数电课设《数字钟》

数字钟的设计内容摘要数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械时钟相比,它一般具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表、电子闹钟,大到车站﹑码头﹑机场等公共场所的大型数字显电子钟。

本课程设计是要通过简单的逻辑芯片实现数字电子钟。

要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)、74LS00(与非门芯片)等连接成60和24进制的计数器,再通过数码管显示,构成简单数字时钟。

关键词数字时钟、计数器、555芯片、分频器一、设计要求1)要求准确显示“时”、“分”、“秒”,24小时制00:00:00~23:59:592)具有整点报时功能:在每小时59分51秒、53秒、55秒、57秒发出500HZ 的低音,59秒时发出1000HZ的高音。

3)具有校时功能:以1HZ频率对“时”、“分”进行校正,且互不影响。

二、总体方案1)功能实现利用555定时器组成的多谐振荡器经分频电路产生的1kHz作为电路的时基信号。

“时、分、秒”分别为二十四进制和两个六十进制计数法。

并用数码管显示时间,74LS160组成的计数器通过译码电路(7448)驱动数码管显示数据。

“分、秒”均为六十进制计数法,即显示“00~59”;“分、秒”的个位为十进制计数法,十位为六进制计数法;“分秒”模块功能的实现:用两片74LS160组成六十进制计数器。

“小时”模块则为二十四进制计数器,显示为“00~23”;个位仍为十进制计数法,而十位则为三进制计数法,但当十进位计到“2”,而个位计到“4”时个位十位清零,组成二十四进制计数法;“时”功能的实现:用两片74LS160组成24进制计数器。

2)总体方案结构图3)方案比较计数功能的实现主要有置数法和清零法两种方案。

置数法相对于清零法要稳定一些,74LS160的清零法采用异步清零方式,及异步清零端有效时,计数立即清零,而系统存在延时性可能出现显示错误。

数电课程设计报告-数字电子钟东北大学

数电课程设计报告-数字电子钟东北大学

数电课程设计报告-数字电子钟东北大学第一篇:数电课程设计报告-数字电子钟东北大学课程设计报告设计题目:数字电子钟设计与实现班级:学号:姓名:指导教师:设计时间:摘要数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。

由于数字集成电路技术的发展采用了先进的三石英技术,使数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。

尽管目前市场上已有现成的数字时钟电路芯片出售,价格便宜、使用也方便,但鉴于数字时钟电路的基本组成包含了数字电路的组成部分,因此进行数定时钟的设计是必要的。

在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来增养我们的综合分析和设计电路的能力。

本次设计以数字时钟为主,实现对时、分、秒数字显示的计数器计时装置,周期为24小时,显示满为23时59分59秒并具4有校时功能的数电子时钟。

电路主要采用中规模的集成电路,本电路主要脉冲产生模块、校时模块、两个六十进制模块(分、秒)、一个二十四进制模块(时)和一个报时逻辑电路组成。

时、分、秒再通过BCD-7段译码显示屏显示出来。

关键词:计数器译码器校时目录概述2 课程设计任务及要求2.1 设计任务2.2 设计要求3 理论设计3.1方案论证3.2 系统设计3.2.1 结构框图及说明3.2.2 系统原理图及工作原理3.3 单元电路设计3.3.1秒脉冲电路设计3.3.2时、分、秒计数器电路3.3.3校时电路3.3.4译码显示电路3.3.5定时电路设计4.软件仿真4.1 仿真电路图4.2 仿真过程4.2 仿真结果5.结论6.使用仪器设备清单7.参考文献。

8.收获、体会和建议。

5 5 8 10 11 13 15 16181919202.课程设计及要求2.1设计任务数字电子时钟是一种用数字电路技术实现“时”、“分”、“秒”计时的装置。

数电课程设计 数字时钟

数电课程设计 数字时钟

机电工程学院本科生课程设计题目:数字时钟课程:数字电子技术专业:电气工程及其自动化班级:学号:姓名:指导教师:完成日期:任务书目录1设计的目的及任务 (1)1.1 课程设计的目的 (1)1.2 课程设计的任务与要求 (1)1.3 课程设计的技术指标 (1)2 数字时钟的介绍和原理 (2)2.1 数字时钟的介绍 (2)2.2 数字时钟的电路组成 (2)2.3 数字时钟的工作原理 (3)3 数字时钟总设计方案和各部分电路设计方案 (4)3.1 数字时钟总设计方案 (4)3.2 各部分电路设计方案 (5)3.3 总电路设计图 (17)4 电路仿真 (17)5收获与体会 (24)6 仪器仪表明细清单 (24)参考文献 (25)1.设计的目的及任务1.1 课程设计的目的(1)巩固所学的相关理论知识;(2)实践所掌握的电子制作技能;(3)会运用Mutisim工具对所作出的理论设计进行模拟仿真测试,进一步完善理论设计;(4)通过查阅手册和文献资料,熟悉常用电子器件的类型和特性,并掌握合理选用元器件的原则;(5)掌握模拟电路的安装\测量与调试的基本技能,熟悉电子仪器的正确使用方法,能力(6)分析实验中出现的正常或不正常现象(或数据)独立解决调试中所发生的问题;(7)学会撰写课程设计报告;1.2 课程设计的任务与要求(1)根据技术指标要求及实验室条件设计出电路图,分析工作原理,计算元件参数;(2)列出所有元器件清单;(3)安装调试所设计的电路,达到设计要求;(4)记录实验结果。

1.3 课程设计的技术指标(1)准确计时,用数码管显示小时、分和秒;(2)小时以24小时计时;(3)带有时间校正功能;(4)“闹钟”功能;2.数字时钟的介绍和原理2.1 数字时钟的介绍数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。

数字时钟的设计方法有许多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等等。

数电课设电子时钟

数电课设电子时钟

一、概述数字电子钟是一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时功能和一些显示报时、闹钟等附加功能。

该数字电子钟电路主要由译码显示器、“时”、“分”、“秒”、计数器、校时电路、报时电路和振荡器组成。

数字电子钟实际上是一个对标准频率(1Hz)进行计数的计数电路。

由于计数的起始时间不可能与标准时间(如北京时间)一致,故在电路上加一个校时电路,并使用石英晶体振荡器电路构成数字电子钟。

(1).时间计数器电路时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。

(2)校时电路在分和时的个位进位端接一个按钮和电源,当需要对时或分校时,按下按钮即可校时。

(3)报时电路根据要求,电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。

报时电路选蜂鸣器为电声器件(4).晶体振荡器电路(含分频器电路)晶体振荡器电路给数字电子钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字电子钟的走时准确及稳定。

分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz 的方波信号供秒计数器进行计数。

(5)闹钟电路在原有电路框架中,构造时钟显示框架,可自行调节时钟的报时时间,当时钟与闹钟的时,分相匹配时,即发出蜂鸣。

二、方案设计与论证数字钟一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。

这些都是数字电路中应用最广的基本电路,原理框图如图2所示。

石英晶体振荡器产生的时标信号送到分频器,分频电路将时标信号分成每秒一次的方波信号。

秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。

“秒”的显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的显示电路与“秒”相同;“时”的显示由两级计数器和译码器组成的二十四进制计数电路来实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.设计题目:简易数字电子钟设计
1.1设计目的:(1)能独立查阅、整理、分析有关资料
(2)能用数字集成电路完成设计任务
(3)掌握脉冲产生、整形与分频电路
(4)掌握组合逻辑器件
1.2基本要求:
(1) 24小时制时间显示,6个7段数码管显示
(2)要具有分钟与小时的校时电路
(3)其他附加功能可以自行设计
2.设计过程的基本要求:
2.1 基本部分必须完成,学有余力的同学可以根据自己的能力自行确定发挥部分
并完成。

2.2 符合设计要求的报告一份。

设计报告内容要求:
1. 写出你考虑该问题的基本思路,画出一个实现电路功能的大致框图。

2. 设计出框图中各部分逻辑电路,可用中、小规模集成电路,也可用中
规模集成电路连接而成。

对各部分电路的工作原理应作出说明。


后,画出整个设计电路的原理电路图,并说明电路工作原理。

3. 进行设计的仿真验证。

4. 要求用A4纸打印,不允许复印。

装订顺序为:封面、任务书、成绩
评审意见表、中文摘要和关键词、目录、正文、参考文献。

5. 设计报告提交的截止时间为2013年1月17日。

中文摘要
本数字钟设计为具有24小时显示,及分钟、秒的显示、有校正功能,利用74LS290、石英晶振、74LS48、数码管等器件连接完成的。

核心是多谐振荡器,可以是用555型的,也可利用石英晶振型的。

此简易数字钟为基础型,可由此拓展额外功能,例如:报时等。

关键字74LS290,英晶振,分频器,计数器,译码器。

目录
题目分析 (1)
1 方案设计 (2)
1.1总体框图 (2)
1.1.1 总体概括 (2)
1.2各部分电路图与详解 (3)
1.2.1 振荡器 (3)
1.2.2 分频器 (3)
1.2.3 译码与显示 (5)
1.2.4 计数器 (6)
1.2.5 校时 (7)
1.2.6 额外电路----整点报时 (7)
附录 (8)
原件清单 (9)
附加电路元件清单 (9)
总结 (10)
题目分析
简易数字电子钟具有正常时间显示与计时的功能,根据课程设计任务书要求,此设计书中数字钟可以显示秒、分、时的功能,以及具有校正的功能(因为快校正设计复杂、不易实现,所以采取慢校正)。

具体可利用振荡器、分频器、计数器、译码器、显示器实现此数字钟,另外可以添加其他电路来实现额外功能,例如:整点报时,闹钟等。

核心部分是振荡器的起振与分频(具体在后文分析),产生1Hz的脉冲。

繁琐部分是计数与显示。

两部分都是做成与否的关键。

1 方案设计
1.1 总体框图
图1.1
1.1.1 总体概括
数字时钟电路由多谐振荡发生器、分频器、两个60进制分秒计数器、一个24进制小时计数器以及6个数字显示器组成。

电路工作时由石英晶体多谐振荡器产生频率高频率脉冲,经由多个74LS290D(功能表如下)计数器构成的分频器得到频率为1HZ的脉冲,脉冲输入计数电路,然后将相应数字显示到数字显示器上即所要显示的时间。

另外,时钟时间的设置与校正可以通过在秒计数器与分计数器之间和分计数器与时计数器之间加单脉冲即可实现。

表1.1 74LS290功能表
1.2 各部分电路图与详解
1.2.1 振荡器
HC-49/U_3MHz
图1.2
振荡器是数字钟的核心,数字钟的走时正确与否,由振荡器的频率决定,一般来说频率震荡越快,数字钟走时越准确。

通常情况下可以由555多谐振荡器和石英晶振来做振荡器,555振荡器与石英晶振相比易于实现,而石英晶振产生的频率远大于555振荡器,并且石英晶体振荡器频率稳定选频特性好,所以具有很高的频率稳定性。

为保其准确性本次课设采用的是石英晶振。

图1.2 中U1A与U2A均并联电阻R1和R2,用以确定U1A与U2A的工作在线性放大区,石英晶体与C1构成反馈回路进行选频,(利用石英晶体对频率敏感的特性,即:频率超过或小于石英晶体的谐振频率时,其阻抗迅速增大),C1与C2为耦合电容,可以通过C1来微调振荡器频率。

1.2.2 分频器
由于选取的振荡器是3M的石英晶振,所以想要得到1Hz的脉冲必须将频率3M分,如图1.3所示,利用计数器可以实现3M分。

将74LS290的连接成十进制,将6个十进制的74LS290连接成为百万进制,最后用连接成为3进制74LS290与百万进制相连成为3M进制。

原理说明:假设,一个100Hz的脉冲发送进入十进制的计数器中,每当计数器计满十时就会发送出1Hz的脉冲,经过100Hz后就会只有10Hz。

利用这点就可以将3MHz变成1Hz,并且也可以将石英晶振产生的误差3M等分,这也是为什么频率越高误差越小。

图1.3
1.2.3 译码与显示
U1
A B C D E F G
CK
U274LS48D A 7B 1C 2D 6O A 13O D 10O E 9O F 15O C 11O B 12O G 14
~L T 3~R B I 5~B I /R B O 4VCC 5V
VCC 5V
图1.4
图1.4中是由译码器与显示器组成的,74LS48(功能表如下)引脚A 、B 、C 、D 分别对应着编码1、2、4、8对应,因此可实现显示器1~9的显示,例如,A 与B 脚接高电平显示器显示3。

表1.2 74LS48功能表
1.2.4 计数器
图1.5
计数器部分是数字电子钟的运算部分负责进位与计时,其主要部分有74LS290组合而成,根据其功能表可知,将INB与QA相接可构成8421型十进制计数器,两个74LS290相接后可以构成60进制计数器,秒(分)计数器个位为74LS290构成的十进制计数器,与之相接的十位是六进制的计数器,六进制计数器是将74LS290的B与C脚连接与门7409N电路相连与门输出与R01、R02以及下一级计数器相连,每当计数器计0110(即:十进制6)时,B脚与C脚处于高电平经与门输出为高电平,使R01、R02变成高电平时将0110状态变为0000状态,并且也会向下一级发出一个脉冲。

时计数器同理,只是将个位的C脚与十位的B脚相连,当个位出现0100(即:十进制4),十位出现0010(即:十进制2),C脚与十位的B脚同时变成高电平,这时触发条件将清零。

1.2.5 校时
图1.6
校时部分可用简单脉冲来完成,如图1.6 当开关完成一次断开与闭合,就相当于完成一次脉冲,对应的就可以让计数器多运算一次,因此来成校正。

1.2.6 额外电路----整点报时
设计原理图如下图1.7,由图可知,当分十位QAQC、分个位QAQD、秒十位QAQC同时为“1”时,电路驱动NPN三极管,报时电路工作,即当分、秒时间为59分50-59秒内蜂鸣器(R1代替)发出响声报时。

300Hz可由分频器分出5V电源可用总路中的。

注:因是额外附加电路,所以不加入总图中。

图1.7
附录
数字钟总图2.1 原件清单
表2.1
附加电路元件清单
总结
数字电子钟是可以显示时间的工具,其准确性由核心振荡器的频率决定,课设中我采用的是石英晶体振荡器,石英晶体振荡器产生高达3MHz的脉冲,不可直接利用,这就需要分频器来完成将频率转变的工作,分频器可以用计数器或可以直接分频的器件来充当,我采用的是74LS290计数器,由多个十进制的74LS290计数器来组成3M进制的计数器来进行分频,将3M变成1Hz,并且也将振荡器产生的误差3M等分,这也是为什么频率越高误差越小的原因。

1Hz的脉冲发送到计数机,让计数器计数,计数器同样使用的是74LS290,分别用74LS290组成的是60进制(秒)、60进制(分)、24进制(时)计数器,秒、分、时计数器相连,让每一级的下一级计数完成一轮计数后向上一级发送一次脉冲,实现了计数器工作。

显示器与译码器相连,计数器会将计数的2进制数发送到译码器,译码器处理后发送到显示器上,这样显示器既可以显示出计数器所计的10进制数。

校正部分直接在秒与分计数器之间、分与时之间添加单脉冲即可,用电源和单闸刀即可,必要时添加电阻调节电路电压。

额外部分是由多个与门共同完成的,当条件满足,同时为“1”时,电路驱动NPN 三极管,报时电路工作,内蜂鸣器发出响声报。

300Hz可以蜂鸣器间接响,300Hz 可有由分频器分出,5V电源可用总路中的校时电路的。

我所完成的数字钟与555型相比不易实现,在电路的保护部分所做的还不足,过于简单,导致各电路配合会出现不同程度的错误,希望以后可以更加详细的来完成自己所做的每一个电路。

相关文档
最新文档