汽车尾灯控制电路设计说明书

合集下载

汽车尾灯控制电路说明书

汽车尾灯控制电路说明书

目录1、课程设计目的 (2)2、课程设计内容和要求2.1、设计内容 (2)2.2、设计要求 (2)3设计方案 (2)3.1、设计思路 (2)3.2、工作原理及硬件框图 (2)3.3、硬件电路原理图 (3)(一)脉冲产生电路 (3)(二)循环移动电路 (3)(三)左转右转控制电路 (4)(四)刹车、检查电路 (4)3.4、PCB版图设计 (6)4、课程设计总结 (6)5、参考文献 (8)1、课程设计目的①掌握电子电路的一般设计方法和设计流程;②学习使用PROTEL软件绘制电路原理图及印刷板图;③掌握应用EWB对所设计的电路进行仿真,通过仿真结果验证设计的正确性。

2、课程设计内容和要求:2.1、设计内容汽车尾部左右两侧各有3个指示灯。

当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁2.2、设计要求a.当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。

b. 当接通刹车电键时,汽车所有的尾灯同时闪烁。

c.当接通检查电键时,汽车所有的尾灯点亮。

3、设计方案3.1、设计思路分四种情况,左转、右转、刹车、检查,通过电路控制每种情况的灯亮暗,利用数字电路控制每种情况的转变,从而完成汽车尾灯控制。

3.2、工作原理及硬件框图由设计的基本要求可知,整个电路包括以下几部分:时钟产生电路,左转右转控制电路,检查和刹车控制电路组成。

其中时钟产生电路有555定时器组成,用于产生频率为1赫兹的脉冲信号。

该脉冲信号作为刹车时的输入信号,控制尾灯的闪烁;左传右转控制电路控制汽车尾灯按照左循环或右循环的顺序依次点亮。

其系统的原理框图如图所示:电路原理设计示意图 3.3、硬件电路原理图 一.脉冲产生电路f=1.43/(R1+2R2)/C 取 R1=40.23K,R2=15.1K,C=10微法。

则输出信号频率为1赫兹(时钟产生电路:该电路由555定时器构成的多谐振荡器组成电路如图,它的输出信号频率为 周期为1秒)图1 脉冲产生电路二.循环移动电路汽车在左右转向时,其尾灯按照顺序循环点亮。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计一、设计目的汽车尾部左右两侧都有3指示灯,要求:汽车正常运行时指示灯全灭;右转弯时,右侧的3个指示灯按照右循环顺序依次亮;左转弯时左侧的3个指示灯按照左循环的顺序依次亮;临时刹车时所有指示灯同时闪烁。

二、设计要求利用两个输入开关来控制汽车的运行和转向引脚自行配置三、电路及连线设计四、使用说明当向左转时,扳动开关K1,则左边尾灯闪烁;当向右转时,扳动开关K2,则右边尾灯闪烁;当急刹车时,同时扳动K1,K2 则两边尾灯同时闪烁。

五、流程图设计六、程序设计如下:library ieee;use ieee.std_logic_1164.all;entity kz isport(left,right:in std_logic;lft,rit,lr:out std_logic);end kz;architecture kz_arc of kz isbeginprocess(left,right)variable a:std_logic_vector(1 downto 0);begina:=left&right;case a iswhen"00"=>lft<='0';rit<='0';lr<='0';when"10"=>lft<='1';rit<='0';lr<='0';when"01"=>rit<='1';lft<='0';lr<='0';when others=>rit<='0';lft<='0';lr<='1';end case;end process;end kz_arc;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity timer2s isport(clk:in std_logic;clkout:out std_logic);end entity timer2s;architecture one of timer2s isbeginprocess(clk)variable counter:std_logic_vector(7 downto 0);variable qclkout:std_logic;beginif clk'event and clk='1' thenif counter="11111111" thenqclkout:=not qclkout;clkout<=qclkout;counter:="00000000"; else counter:=counter+1;end if;end if;end process;end architecture one;library ieee;use ieee.std_logic_1164.all;entity lfta isport(en,clk,lr:in std_logic;l2,l1,l0:out std_logic);end lfta;architecture lft_arc of lfta isbeginprocess(clk,en,lr)variable tmp:std_logic_vector(2 downto 0);beginif lr='1' thentmp(0):=NOT CLK;tmp(1):=NOT CLK;tmp(2):=NOT CLK;elsif en='0' thentmp:="000";elsif clk'event and clk='1' thenif tmp="000" thentmp:="001";elsetmp:=tmp(1 downto 0)&'0';end if;end if;l2<=tmp(2);l1<=tmp(1);l0<=tmp(0);end process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity rita isport(en,clk,lr:in std_logic;r2,r1,r0:out std_logic);end rita;architecture rit_arc of rita isbeginprocess(clk,en,lr)variable tmp:std_logic_vector(2 downto 0);beginif lr='1' thentmp(0):=NOT CLK;tmp(1):=NOT CLK;tmp(2):=NOT CLK;elsif en='0' thentmp:="000";elsif clk'event and clk='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;r2<=tmp(2);r1<=tmp(1);r0<=tmp(0);end process;end rit_arc;七、设计总结:通过几天的紧张工作,最后完成了我的设计任务——汽车尾灯控制器的设计。

数字电路课程设计汽车尾灯控制电路报告.

数字电路课程设计汽车尾灯控制电路报告.

沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。

假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。

其原理参考框图如图1所示。

开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。

三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。

2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。

3.根据技术指标,通过分析计算确定电路和元器件参数。

4.画出电路原理图(元器件标准化,电路图规范化)。

四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。

2.进行实验数据处理和分析。

五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。

分别控制输出的高低电平,以便达到控制各芯片工作的目的。

汽车尾灯控制系统设计毕业设计说明书

汽车尾灯控制系统设计毕业设计说明书
第六章 产品使用说明................................................................................................24 6.1 功能描述........................................................................................................... 24 6.2 使用说明........................................................................................................... 24
第三章 硬件设计方案..................................................................................................5 3.1 设计思路............................................................................................................ 5 3.2 硬件选着及论证................................................................................................ 5 3.2.1 硬件选择.................................................................................................... 5 3.2.2 PLC 的硬件系统......................................................................................... 5 3.2.3 PLC 的工作原理......................................................................................... 6 3.2.4 LED 灯的原理............................................................................................. 8 3.2.5 汽车 LED 尾灯............................................................................................ 9 3.3 PLC S7-200 编程设计及分析........................................................................... 9 3.3.1 I/0 端口的分布......................................................................................... 9 3.3.2 I/0 接线图............................................................................................... 10

汽车尾灯控制电路的设计说明

汽车尾灯控制电路的设计说明

目录1、mutisim简介11.1 概述11.2 具有的功能模块12、设计要求与思路52.1 设计目的与要求52.2设计思路与构想53、单元电路设计73.1秒脉冲电路的设计73.2 开关控制电路的设计83.3 三进制计数器电路的设计93.4 译码与显示驱动电路的设计113.5 尾灯状态显示电路的设计134、电路仿真与分析144.1 电路仿真总电路图144.2 汽车尾灯控制器电路的工作原理144.3 参数计算与器件选择155、电路安装与调试166、元器件清单177、设计体会18参考文献19本科生课程设计成绩评定表201、mutisim简介1.1 概述Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。

凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。

借助专业的高级SPICE 分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。

与NI LabVIEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。

1.2 具有的功能模块:&Oslash; 直观的图形界面整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的;&Oslash; 丰富的元器件提供了世界主流元件提供商的超过17000多种元件,同时能方便的对元件各种参数进行编辑修改,能利用模型生成器以与代码模式创建模型等功能,创建自己的元器件。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

课程设计——汽车尾灯控制电路设计一、 实验目的:熟悉常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,培养设计能力。

二、 设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使指示灯达到三个要求:a 、 汽车正常运行时指示灯全灭;b 、 右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时左侧3个指示灯按左循环顺序点亮。

c 、 临时刹车时所有指示灯同时闪烁。

三、 设计步骤:(1)列出尾灯与汽车运行状态表由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件(S 1、S 2、CP 、Q 1、Q 0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。

图1 汽车尾灯控制电路原理框图(3)设计单元电路三进制计数器电路可由双JK触发器74LS76构成。

图2 三进制计数器电路图采用CP下降沿触发的JK触发器,当CP由1跳变为0时,触发器的输出依据J和K 的状态而定。

表3为J-K触发器的状态表。

由双JK组成的三进制计数器的逻辑功能表如表2。

汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。

74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1Q 0是三进制计数器的输出端。

当S 1=1,S 2=0时,使能信号A =G =1,计数器的状态为00,01,10时,74LS138对应的输出端0Y 、1Y 、2Y 依次为0有效(3Y 、4Y 、5Y 信号为“1”无效),即反相器G 1~G 3的输出也依次为0,故指示灯D 3→D 2→D 1按顺序点亮示意汽车左转弯。

若上述条件不变,而S 1=0,S 2=1时,则74LS138对应的输出端4Y 、5Y 、6Y 依次为0有效,即反相器G 4~G 6的输出端依次为0,故指示灯D 4→D 5→D 6按顺序点亮示意汽车右转弯。

数电_《汽车尾灯显示控制电路设计》课程设计说明书

数电_《汽车尾灯显示控制电路设计》课程设计说明书

题目: 《汽车尾灯显示控制电路设计》初始条件:本设计基于数字电子技术基础实验,通过自行设计电路图并在电脑上利用Multisim10软件进行仿真。

电路所用元件:NE555,74LS00,74LS04,74LS09,74194,发光二极管6个,电阻电容若干。

要求完成的主要任务:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:1.汽车正常行驶时,尾灯全部熄灭。

2.当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

3.当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

4.临时刹车时,所有指示灯同时闪烁。

时间安排:第20周:理论讲解理论设计,实验室安装调试以及撰写设计报告;地点:鉴主15楼通信工程实验室指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (1)ABSTRACT (2)1 原理电路设计 (3)1.1电路性能要求 (3)1.2设计方案选择 (3)1.2.1时钟产生电路 (3)1.2.2 循环移动电路 (3)1.2.3 控制电路 (5)1.2.4 逻辑指示电路 (5)1.3 单元电路设计 (5)1.3.1时钟产生电路 (5)1.3.2循环电路 (6)1.3.3控制电路 (7)1.3.4逻辑电平指示电路 (8)1.4 完整电路及主要工作原理 (9)1.4.1完整电路图 (9)1.4.2 主要原理 (9)1.5 元件参数 (10)2仿真结果分析 (14)2.1时钟脉冲产生电路仿真 (14)2.2循环电路仿真 (15)2.3总电路仿真 (16)2.3.1 左右转弯尾灯循环仿真 (16)2.3.2 刹车尾灯闪烁仿真 (18)3实物和仿真性能 (20)4收获、体会和建议 (21)5主要参考文献 (22)6元器件清单 (23)摘要本汽车尾灯显示控制电路设计采用555产生方波脉冲,由74LS系列芯片构成的电路实现了汽车尾灯显示控制电路,LED灯实现显示电路。

本设计的主要功能是:汽车正常行驶时,尾灯全部熄灭;当汽车右转弯时,右侧3个指示灯按右循顺序点亮;当汽车左转弯时,左侧3个指示灯按左循顺序点亮;临时刹车时,所有指示灯随时间脉冲同时闪烁。

汽车尾灯控制电路设计说明书要点

汽车尾灯控制电路设计说明书要点

中北大学课程设计说明书学生姓名:学号:学院:信息与通信工程学院专业:光电信息科学与工程题目:汽车尾灯控制电路设计指导教师:职称:指导教师:职称:201X年 X月X日中北大学课程设计任务书201X/201X 学年第一学期学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号:课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学指导教师:学科管理部主任:下达任务书日期: 201X年X月X日目录摘要 0汽车尾灯控制电路设计摘要本文介绍了一种设计模拟汽车尾灯工作情况电路的方法,实现由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

主要阐述了通过 555 系列来制作脉冲产生器,任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计。

设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

通过计数器实现左转弯和右转弯时的尾灯定时循环闪烁,以及刹车时尾灯的定时闪烁。

关键词:模式控制电路译码显示驱动电路脉冲发生电路1.设计目的任务及要求1.1设计目的本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。

另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。

1.2设计任务假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。

1.3 设计条件本设计是基于在学习过数字电子技术基础和模拟电子技术基础且在完成电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Protel99SE,Proteus等设计仿真软件。

1.4设计内容和要求假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。

数字电路课程设计(汽车尾灯控制)

数字电路课程设计(汽车尾灯控制)

西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R1R2→R1R2R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L1L2→L1L2L3→全灭→L1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R1R2R3 L1L2L3点亮);当汽车后退的时候所有灯循环点亮;当晚上行车的时候汽车灯的最下一个灯一直点亮。

二、设计条件利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74160,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。

汽车尾灯控制电路设计总体框图如图1所示。

汽车尾灯和汽车运行状态表1-1图1 汽车尾灯控制电路设计总体框图四、设计内容⑤⑥(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明:由于汽车灯是的点亮是给人的不同的信息及该车将要发生的动作,所以汽车的灯在闪烁的时候不能超过一定的频率,但是频率也不能太小,所以我们在设计的时候是采用的555定时器设计的一个脉冲产生源,占空比约为50%,它产生的频率f 约为2HZ 。

然后通过计数器就能控制汽车灯在循环点亮的时候时间间隔约为0.5S ,这样就能让人很清楚的明白该汽车的动作以采取相应的动作从而避免交通事故的发生。

②.设计计算公式(对应右图):高电平时间: C R R tph)(7.021+=低电平时间:C R tpl27.0=占 空 比: RR Rt tt plphphD 2212+=+=③.设计最后图形如右图所示: 高电平时间 tph=250.0ms低电平时间tpl=213.9ms占 空 比 D=53.8% 频 率 f=2.158 ④.仿真波形以及连接图形:如右图所示为在multisim 7.0里仿真时的实际连接电路。

汽车尾灯控制电路设计报告书

汽车尾灯控制电路设计报告书

电子技术课程设计设计题目:汽车尾灯控制电路设计姓名学院信息与电气工程学院专业电子信息类班级电子1404学号指导教师邱相艳2016年3月13日1设计要求与任务分析1.1内容及要求:设计电路利用开关来控制汽车运行和转向。

汽车尾部左右各有3个指示灯。

要求:(1)汽车正常运行时,指示灯全灭。

(2)右转弯时,右侧的3个指示灯按照右循环方式依次点亮。

(3)左转弯时,左侧的3个指示灯按照做循环方式依次点亮。

(4)刹车时,所有指示灯全部闪烁。

1.2 任务分析分析以上设计任务,得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。

本次设计方案主要有四个模块:多谐振荡电路、开关控制电路、三进制电路和译码驱动电路。

通过把这四个模块组合连接来实现汽车尾灯控制。

首先,通过555定时器构成的多谐振荡器产生脉冲信号,该脉冲信号用于提供给双J-K触发器构成的三进制计数器和开关控制电路中的输入信号。

其次,双J-K触发器构成的三进制计数器用于产生00、01、10的循环信号,此信号提供左转、右转的原始信号。

最后三进制计数器产生的循环信号与左开关产生的0、1信号组成6种信号通过138译码器输入000、001、010控制左转尾灯,100、101、110控制右转尾灯。

刹车通过555定时器构成的多谐振荡器产生脉冲信号来控制。

汽车尾灯控制电路设计总体框图如下图所示。

图1 汽车尾灯控制电路设计总体框图2单元电路设计2.1震荡电路的设计方案一:石英晶体振荡器:此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。

所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。

此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。

方案二:由555定时器构成的多谐振荡器:由555定时器构成的多谐振荡器。

由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

《课程设计-汽车尾灯控制器的电路设计》精选全文

《课程设计-汽车尾灯控制器的电路设计》精选全文

可编辑修改精选全文完整版一、概述1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。

2.设计要求:在汽.车尾部左右两侧各有3个指示灯〔假定用发光二极管模拟〕,根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。

②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。

二、方案设计为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。

表1 汽车尾灯和汽车运行状态在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。

设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示〔表中指示灯的状态“1”表示点亮,“0”表示熄灭〕。

表2 汽车尾灯控制器功能表根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。

整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等局部组成图1 汽车尾灯控制电路的原理框图三、电路设计脉冲电路的设计方案一:石英晶体振荡器此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。

所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。

此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。

方案二:由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。

555定时器的管脚图如图2所示。

由于555定时器内部的比拟器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

汽车尾灯说明书范本(1)

汽车尾灯说明书范本(1)
教研室主任(签名):
课程设计成绩评定表
出勤
情况
出勤天数
缺勤天数




出勤及设计过程表现(20分)
课设答辩(20分)
电路仿真(30分)
说明书(30分)
总成绩(100分)
提问
(答辩)
问题
情况




指导教师签名:
年月日
目录
1引言1
1.1设计目的1
1.2设计背景1
2设计原理2
3总体设计3
3.1设计方案3
⑶撰写设计说明书。
二、设计原始资料
Multisim仿真软件、课件、芯片资料。
三、要求的设计成果(课程设计说明书、设计实物、图纸等)
课程设计说明书、仿真电路图。
四、进程安排
周1讲解整个设计要实现的功能,查阅相关资料,画出整体电路;
周2、3进行仿真并调试;
周4撰写课程设计任务书;
周5课程设计答辩并提交设计说明书。
三要求的设计成果课程设计说明书设计实物图纸等课程设计说明书仿真电路图
唐山学院
《数字电子技术》课程设计
题目
系(部)信息工程系
班级
姓名
学号
指导教师成凤敏马军爽樊艳
年月日至月日共1周
年月日
《数字电子技术》课程设计任务书
一、设计题目、内容及要求
设计题目:汽车尾灯控制电路有3个指示灯,汽车运行时具有如下模式:
⑴汽车正向行使时,左右两侧的指示灯全部处于熄灭状态。
⑵汽车右转弯行驶时,右侧的3个指示灯按右循环顺序点亮。
⑶汽车左转弯行驶时,左侧的3个指示灯按左循环顺序点亮。
⑷汽车临时刹车时,左右两侧的指示灯同时处于闪烁状态。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

课程设计说明书课程设计名称:数字逻辑课程设计课程设计题目:汽车尾灯控制电路设计学院名称:信息工程学院专业:计算机科学与技术班级:xxx学号:xxx 姓名:xxx评分:教师:20 12 年xx 月xx 日数字逻辑课程设计任务书20 11 -20 12 学年第二学期第18 周-19 周注:一、此表一组一表二份,课程设计小组组长一份;任课教师讲课时自带一份备查。

二、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要课程设计作为数字逻辑课程的重要组成部份,目的是使咱们能够进一步理解课程内容,大体掌握数字系统设计和调试的方式,增加集成电路应用知识,锻炼咱们的实际动手能力和分析、解决问题的能力。

通过设计,一方面可以巩固咱们的理论知识,另一方面也可以提高咱们考虑问题的全面性,将理论知识上升到一个实践的阶段。

设计是工科学生必需面对的重要课题,经历这个进程才能真切感受到工科的魅力,拉近与生产的距离。

本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方式,主要论述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的利用等一系列方式。

实验通过发光二极管模拟汽车尾灯来实现汽车在行驶时候的四种情况:正常行驶,临时刹车,左拐弯,右拐弯。

关键词:汽车尾灯,脉冲,计数器,译码器,刹车,转向目录前言.................................................................................................. .. (4)一、设计内容及要求 (5)二、设计方案分析 (5)3、设计方案计划及设计 (5)设计思路及流程 (5)单元电路设计 (7)3.2.1 秒脉冲电路的设计 (7)3.2.2 开关控制电路的设计 (7)3.2.3 三进制计数器 (8)3.2.4 译码、显示驱动电路 (9)4、性能测试与仿真 (11)NI Multisim10的简单介绍 (11)利用NI Multisim10进行测试与仿真 (11)五、结论.................................................................................................. ..13六、参考文献 (13)附录I:总电路图 (14)附录II: 元件清单 (15)前言伴随着我国汽车行业的发展、汽车入口关税的减低和人均收入的持续增加,私家车的普及率愈来愈高,汽车持有量也愈来愈多。

汽车尾灯控制电路设计_课程设计 精品

汽车尾灯控制电路设计_课程设计 精品

汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

1.2设计要求1、汽车正常运行时尾灯全部熄灭。

2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。

3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。

4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。

设计要求具体见表1-1。

表1-1汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

首先,设置两个可控的开关,可产生00、01、10、11四种状态。

开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。

三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。

原理图如2-1所示:图2-1 原理框图第3章电路设计3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。

有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。

74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。

数电课设_汽车尾灯控制器的电路设计说明

数电课设_汽车尾灯控制器的电路设计说明

课程设计任务书学生:专业班级:指导教师:工作单位:题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。

电路组成框图如图1所示。

(也可以使用单片机系统设计)图1汽车尾灯控制电路框图要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周完成对汽车尾灯控制器的设计、仿真、装配与调试。

2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。

②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

④临时刹车时,所有指示灯同时闪烁。

⑤选择电路方案,完成对确定方案电路的设计。

计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。

制作实际运行装置。

3、查阅至少5篇参考文献。

按《理工大学课程设计工作规》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规。

时间安排:1)第1-2天,查阅相关资料,学习设计原理。

2)第3-4天,方案选择和电路设计仿真。

3)第4-5天,电路调试和设计说明书撰写。

4)第6天,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (1)1. 系统总体设计 (2)1.1 设计方案构思 (2)1.2 电路原理框图 (2)2.各模块方案及电路参数 (3)2.1 脉冲产生电 (3)2.1.1电路方案 (3)2.1.2电路原理图 (3)2.1.3电路参数 (4)2.2三进制电路 (5)2.2.1电路设计 (5)2.2.2电路原理图 (6)2.3 开关控制电路 (7)2.3.1电路工作原理 (7)2.3.2电路原理图 (7)2.4 译码驱动电路 (8)2.3.1电路工作原理 (8)2.3.2电路原理图 (8)4. Multisim仿真 (9)4.1 脉冲产生电路仿真 (9)4.2电路整体仿真图 (10)5.实物测试 (11)6.总结 (12)7.元件清单 (13)8.附录 (14)参考文献 (17)摘要《数字电子技术基础》课程是一门实用的专业基础课,而该课程的课程设计就是为了让我们巩固在理论课和实验课程中学习到的知识。

汽车尾灯控制电路说明书

汽车尾灯控制电路说明书

中北大学课程设计说明书学生姓名:学号:学院:信息与通信工程学院专业:光电信息科学与工程题目:汽车尾灯控制电路设计指导教师:职称:指导教师:职称:201X年 X月XX日中北大学课程设计任务书201X/201X 学年第一学期学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号:课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学指导教师:学科管理部主任:下达任务书日期: 201X年X月X日目录摘要 (1)1 引言 (2)2 设计内容分析及要求 (3)2.1设计目的 (3)2.2 设计任务及主要技术指标 (3)3 方案论证及设计原理 (4)3.1 方案确定与论证 (4)3.2 设计原理 (4)3.2.1 汽车尾灯显示状态与汽车运行状态的关系 (4)3.2.2 汽车尾灯控制器功能描述 (4)3.2.3 设计方案及框图 (5)4 电路模块设计 (6)4.1 555多谐振荡电路 (6)4.2 三进制循环控制电路 (7)4.3 译码输出电路 (9)4.4 开关控制电路 (10)4.5 译码及显示驱动电路 (12)5 电路的仿真与分析 (13)5.1 电路的仿真 (13)5.2 电路的分析 (17)6 元件列表 (18)7 心得与体会 (19)参考文献 (20)附录一原理图 (21)附录二 PCB图 (22)汽车尾灯控制电路设计摘要本文介绍了一种设计模拟汽车尾灯工作情况电路的方法,实现由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

主要阐述了通过 555 系列来制作脉冲产生器,任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计。

设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

通过计数器实现左转弯和右转弯时的尾灯定时循环闪烁,以及刹车时尾灯的定时闪烁。

关键词:模式控制电路译码显示驱动电路脉冲发生电路1 引言汽车尾灯控制电路是很常用的工作电路,在日常的生活中都有很广泛的应用。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中北大学课程设计说明书学生姓名:学号:学院:信息与通信工程学院专业:光电信息科学与工程题目:汽车尾灯控制电路设计指导教师:职称:指导教师:职称:201X年 X月X日中北大学课程设计任务书201X/201X 学年第一学期学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号:课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学指导教师:学科管理部主任:下达任务书日期: 201X年X月X日目录摘要 (1)1 设计目的任务及要求 (2)1.1设计目的 (2)1.2 设计任务 (2)1.3 设计条件 (2)1.4 设计内容和要求 (2)2 设计过程和电路原理 (3)2.1 方案确定与论证 (3)2.2 设计原理 (3)2.2.1 汽车尾灯显示状态与汽车运行状态的关系 (3)2.2.2 汽车尾灯控制器功能描述 (3)2.2.3 汽车尾灯控制器的结构框图 (4)2.3 电路设计分模块 (4)2.3.1 开关控制电路 (4)2.3.2 译码器电路 (6)2.3.3 三进制循环控制电路 (8)2.3.4 时钟电路 (9)2.3.5 译码器与显示驱动电路 (11)3 电路仿真与分析 (12)3.1 电路仿真原理图 (12)3.2 仿真电路分析 (16)3.3 总电路原理图的PCB图 (16)3.4 元件列表 (17)4 设计总结 (18)参考文献 (19)汽车尾灯控制电路设计摘要本文介绍了一种设计模拟汽车尾灯工作情况电路的方法,实现由两个开关控制实现汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

主要阐述了通过 555 系列来制作脉冲产生器,任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计。

设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

通过计数器实现左转弯和右转弯时的尾灯定时循环闪烁,以及刹车时尾灯的定时闪烁。

关键词:模式控制电路译码显示驱动电路脉冲发生电路1.设计目的任务及要求1.1设计目的本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。

另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。

1.2设计任务假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。

1.3 设计条件本设计是基于在学习过数字电子技术基础和模拟电子技术基础且在完成电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Protel99SE,Proteus等设计仿真软件。

1.4设计内容和要求假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。

(1)掌握车灯右循环电路的设计、仿真与调试;(2)掌握车灯左循环电路的设计、仿真与调试;(3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%;(4)掌握状态切换电路的设计、仿真与调试;(5)掌握方案设计与论证;(6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。

2 .设计过程和电路原理2. 1 方案确定与论证汽车尾灯控制器的常见电路形式有基于集成门电路构成的电路系统和由于单片机系统构建的控制电路。

由于门电路系统稳定性高,结果再现性好,系统分析与设计相对较为容易。

虽然由于其电路实现过程较为简单,必须根据逻辑代数规则对系统进行设计,但是汽车尾灯控制电路逻辑变量简单,状态少,因此电路结构简单,所用芯片少,成本也不高。

综上考虑,选用逻辑电路搭建汽车尾灯控制电路。

2.2设计原理2.2.1汽车尾灯显示状态与汽车运行状态的关系设置两个状态控制变量来区分汽车尾灯的四种不同的显示模式。

假定用开关S1 ,S2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。

表2—1汽车尾灯显示状态与汽车运行状态的关系2.2.2汽车尾灯控制器功能描述在汽车左右转弯行驶时,由于3个指示灯被循环顺序点亮,所以可以用一个三进制计数器的状态控制译码器电路顺序输出低电平,按要求顺序点亮3个指示灯。

设三进制计数器的状态用QA和QB表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量S1和S2,计数器的状态QA、QB以及时钟脉冲CP 之间关系,如下表所示(1表示点亮,0表示熄灭)表 2—2 汽车尾灯控制器功能描述2.2.3汽车尾灯控制器的结构框图根据以上设计分析与功能描述,可得出汽车尾灯控制器的结构框图,示驱动电路、尾灯状态显示器四部分组成,如下图图 2-1 系统框图2.3电路设计分模块2.3.1开关控制电路开关S1 ,S2通过与一个电阻相连后接地,另一端接VCC,可实现0和1的功能输出。

然后译码器电路和驱动电路的输入端与开关控制电路的输出端相连,同时时钟电路2的输出又与开关控制电路的一个非门输入端相连,达到控制尾灯闪烁的时间目的,得到如表5-2-2所示的逻辑功能图2-2开关控制电路图开关控制电路中所用到的芯片如下图2-3 74LS86逻辑符号和引脚图74LS86是四2输入异或门芯片,即Y=A⊕B=BBA+真值表如下A表2-3 74LS86真值表输入输出A B Y0 0 00 1 11 0 11 1 074LS04芯片是六非门,即Y=A, 其引脚图和真值表如下表2-4 74LS04真值表输入输出A Y1 00 1图2-4 74LS04芯片引脚图74LS10芯片是三输入与非门,即Y=ABC,其引脚图和真值表如下(X为高低电平都可)表2-5 74LS10真值表输入输出A B C YX X 0 1X 0 X 10 X X 11 1 1 0图2-5 74LS10芯片引脚图2.3.2译码器电路通过两个开关的断开与闭合来实现四种功能的切换,三进制循环电路的输出端QA ,QB与74LS138输入端相连,同时QA ,QB输出端又通过与非门输入161的CR端,从而三八译码器74LS138可将三进制码的三种状态循环对应输出。

图2-5 译码器电路图 译码器电路所用的芯片如下74LS138是三八译码器,其引脚图和功能图如下 表2-6 74LS138功能表输入输出1S32S S 2A 1A 0A 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7Y 0 X 1 1 1 1 1 1 1 1X 1 0 0 0 0 0 0 0 0X X X X X X 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 11 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0图2-6 74LS138引脚图 2.3.3三进制循环控制电路三进制计数器可用触发器级联构成也可由集成计数器改造,考虑到直接用计数器改比用触发器构成计数器的电路结构简单,因此设计中我们选用四二进制计数器74LS161来改成三进制计数器。

74LS161芯片的引脚图和功能表如下 表2-8 74LS161功能表清零使能置数 时钟数据 输出CRP CT r CTLDCP D C B A QD QC QB QA 0 X X X XX X X X 0 0 0 0 1 X X 0 ↑d c b a D c b a 1 11 1 ↑X X X X 计数 1 01 1 X X X X X 保持 1X01XX X X X 保持(CC Q =0)图2-7 74LS161 引脚图由以上74LS161的功能表可知当,把QA与QB输入与非门,输出端接在CR 端,即可以通过反馈清零的方法做出三进制计数器即QA与QB实现01-10—00的循环,其电路结构如下图图2-8三进制循环控制电路图2.3.4时钟电路由于此控制电路对秒脉冲的精度要求不高,所以选择用NE555构成的多谐振荡器作为脉冲电路,其原理图如下图2-9 时钟电路图经计算,C1=C3=0.01uf,C2=C4=100uf,R7=R9=100 ,R8=13K,R10=26K 555定时器的引脚图和功能表如下表2-9 555定时器功能表图2-10 555定时器引脚图输入输出TH TR Rd D OUTXCCV32X 0 0 导通<CCV32<CCV311 1 截止>CCV32>CCV311 0 导通<CCV32>CCV311 不变不变2.3.5译码器与显示驱动电路图2-11 LED显示驱动电路图该电路的功能是:在开关控制电路输出和三进制计数器状态下,提供6个尾灯控制信号,当译码驱动电路输出的控制信号为低电平时,相应指示灯亮。

3 电路仿真与分析3.1电路仿真原理图图3-1 电路仿真原理图图3-2 正常行驶时仿真图图3-3 左转弯时仿真图1 图3-4 左转弯时仿真图2图3-5 左转弯时仿真图3图3-6 右转弯时仿真图1 图3-7 右转弯时仿真图2图3-8 右转弯时仿真图3图3-9 刹车时仿真图1图3-10 刹车时仿真图23.2仿真电路分析该电路只用逻辑门构成,结构简单、稳定性好。

由NE555产生的脉冲让三进制计数器进行循环计数,开关控制输入的高低电平进而控制译码器的输出,与此同时开关还控制作用于二极管的电平,通过二极管的工作来模仿汽车尾灯在四种情况下的工作3.3总电路原路图的PCB图图3-11 PCB图3.4元件列表4.设计总结从拿到题目开始,我们小组就开始投入到忙碌紧张的准备工作中,从查资料到任务的分配,我们都有条不紊的进行着。

刚开始拿到题目以为这个题目会很简单,可是在我们后来的具体设计中发现很多东西不是我们想象的那么容易。

从最初的设计到最后的软件仿真,我遇到了很多困难。

开始我并不会用Proteus软件,但经过向舍友学习,看有关书籍以及向别的同学虚心请教,到后来还是学会了Proteus的基本操作。

数字逻辑是电子科学与技术专业学生必修的一门专业基础课,我们进行数字逻辑课程设计是我们理论联系实际的最好途径,将书本上的知识利用到实际的分析解决问题中去,这样使我们更加牢固的掌握分析与设计的基本知识与理论,更加熟悉的各种不同规模的逻辑器件,掌握逻辑电路的分析和设计的基本方法,为以后的学习奠定基础。

相关文档
最新文档