硬件描述语言08-09B卷标准答案

合集下载

嵌入式系统设计师(基础知识、应用技术)合卷软件资格考试(中级)试卷与参考答案(2025年)

嵌入式系统设计师(基础知识、应用技术)合卷软件资格考试(中级)试卷与参考答案(2025年)

2025年软件资格考试嵌入式系统设计师(基础知识、应用技术)合卷(中级)模拟试卷(答案在后面)一、基础知识(客观选择题,75题,每题1分,共75分)1、嵌入式系统通常由哪些部分组成?()A. 中央处理器(CPU)、存储器、输入/输出设备B. 中央处理器(CPU)、存储器、输入/输出设备、电源C. 中央处理器(CPU)、存储器、输入/输出设备、电源、操作系统D. 中央处理器(CPU)、存储器、输入/输出设备、电源、网络接口2、嵌入式系统设计时,以下哪种设计方法是最常用的?()A. 传统的模块化设计B. 面向对象的编程设计C. 面向服务的架构(SOA)设计D. 软件即服务(SaaS)设计3、在嵌入式系统设计中,下列哪个处理器架构最常用于需要高性能与低功耗特性的设备?A. X86B. MIPSC. ARMD. PowerPC4、RTOS(实时操作系统)的主要特征是什么?A. 支持多任务处理B. 提供图形界面支持C. 确保关键任务在规定时间内完成D. 具备网络通讯功能5、以下哪种编程语言通常被用于嵌入式系统开发?()A. JavaB. CC. PythonD. JavaScript6、嵌入式系统通常由以下几个部分组成,以下哪个不是嵌入式系统的组成部分?()A. 中央处理单元(CPU)B. 存储器C. 输入/输出接口D. 主板7、在下列存储器中,存取速度最快的是:A. 硬盘存储器B. 内存储器C. 光盘存储器D. 软盘存储器8、下面关于微处理器的叙述中,错误的是:A. 微处理器通常使用单片机集成技术制造B. 它至少具有运算和控制功能,但不具备存储功能C. Pentium处理器是当前PC机中使用的微处理器之一D. 它用作嵌入式系统的中央处理器9、嵌入式系统中的中断服务程序(ISR)通常具有哪些特点?10、以下哪个不是嵌入式系统中的实时操作系统(RTOS)的特点?11、下列哪项不属于嵌入式系统的硬件组成部分?A、微处理器B、存储器C、操作系统D、输入/输出接口12、嵌入式系统的设计过程中,功耗是一个重要的考虑因素。

专科《硬件描述语言和数字系统设计》_试卷_答案

专科《硬件描述语言和数字系统设计》_试卷_答案

专科《硬件描述语⾔和数字系统设计》_试卷_答案专科《硬件描述语⾔和数字系统设计》⼀、(共36题,共150分)1. reg类型的数组通常⽤于描述存储器,reg [15: 0] MEM [0:1023];定义存储器字的位数为(2分)A.1024B.16C.16384D.1040.标准答案:B2. 下列关于同步有限状态机的描述错误的是()(2分)A.状态变化只能发⽣在同⼀个时钟跳变沿;B.状态是否变化要根据输⼊信号,只要输⼊条件满⾜,就会⽴刻转⼊到下⼀个状态。

C.在时钟上升沿,根据输⼊信号的变化,确定电路状态D.利⽤同步状态机可以设计出极其复杂灵活的数字逻辑电路系统.标准答案:B3. 关于如下描述,正确的说法是( ) (2分)A.这种描述是错误的B.该电路不可综合C.该电路不可综合,但⽣成的不是纯组合逻辑D.以上说法都不对.标准答案:D4. 下列关于流⽔线的描述错误的是( ) (2分)A.流⽔线设计就是将组合逻辑系统地分割,并在各个部分(分级)之间插⼊寄存器,并暂存中间数据的⽅法;B.设计流⽔线⽬的是提⾼数据吞吐率C.流⽔线缩短了在⼀个时钟周期内给的那个信号必须通过的通路长度,从⽽可以提⾼时钟频率D.增加流⽔线长度可以节省更多延迟,流⽔线越长,⾸次延迟越⼤,系统频率就会降低。

.标准答案:D5. 以下关于Top-Down的设计⽅法不正确的描述是( ) (2分)A.Top-Down的设计⽅法⾸先从系统设计⼊⼿;B.Top-Down设计中的系统总体仿真与所选⼯艺有关C.Top-Down的设计⽅法从顶层进⾏功能划分和结构设计D.⾃顶向下的设计⽅法可以早期发现结构上的错误.标准答案:B6. 在verilog中,下列哪些操作⼀定是单bit?()(2分)A.==B.^C.>D.&&.标准答案:A,B,C,D7. 下⾯哪些是verilog的关键字()(2分)A.inputB.assignC.writeD.module.标准答案:A,B,D8. 全球主要的FPGA⼚家有()(2分)A.XilinxB.AlteraC.Broadcom/doc/1830848533687e21ae45a947.html ttice.标准答案:A,B,D9. ⼤规模数字逻辑设计原则,正确的说法有()(2分)A.异步设计原则B.组合时序电路分开原则C.⾯向RTL的原则D.先电路后代码的原则.标准答案:B,C10. 下⾯有关SRAM,DRAM的叙述,正确的有()(2分)A.DRAM存储单元的结构⽐SRAM简单B.DRAM⽐SRAM成本⾼C.DRAM⽐SRAM速度快D.DRAM要刷新,SRAM不刷新.标准答案:A,D11. 阻塞赋值与⾮阻塞赋值的差别及其各⾃的使⽤环境。

《计算机辅助工程M》机08考试试卷B标准答案

《计算机辅助工程M》机08考试试卷B标准答案

内蒙古工业大学2010——2011学年第一学期《计算机辅助工程》试卷B(课程代码:010114023M )试卷审核人: 考试时间:2010.12.30 注意事项:1、本试卷适用于机08结课考试使用。

2、本试卷共5页,满分100分。

答题时间120分钟。

3、本试卷为闭卷考试试卷。

班级 姓名 学号1. 根据要求填空(每空1分,共30分)1)有限元分析中涉及到的三大类方程:力的平衡方程、几何变形方程、材料的物理方程。

2)虎克定律:σ= E ε 。

3)形函数的和为 1 。

4)在有限元建模中,可以使用几个参考系,对于一维单元,整体坐标X 和局部坐标x 的关系为 。

5)向由梁(beam)、杆(spars)和弹簧(springs)构成的非连续性的模型添加的载荷类型为集中载荷。

考虑惯性载荷就必须定义材料密度 (材料特性DENS)。

6)ANSYS中常见对称类型包括: 轴对称 、 旋转对称 、平面 (或镜面)对称、重复或平移对称四种类型。

7)在ANSYS 坐标系中,总体直角坐标系的固定内部编号为 0,总体球坐标系的固定内部编号为 2 。

8)ANSYS 中,施加载荷可以有两种途径为: 在实体几何模型上施加载荷、在有限元模型上施加载荷。

i X X x =+9)ANSYS壳单元中,Shell是壳单元用于薄面板或曲面模型。

壳单元分析应用的基本原则是每块面板的主尺寸不低于其厚度的10倍。

10)ANSYS线单元中,Beam (梁)单元是用于杆,薄壁管件等模型,在设置实常数时需要的参数有:面积、转动惯量。

11)面单元网格划分形状有三角形、四边形。

12)ANSYS中常用单元的形状有:点、线、面、体。

13)ANSYS中,集中力载荷包括力(Fx,Fy,Fz)和扭矩(Mx,My,Mz),只能施加到关键点和节点上,其方向由关键点关联节点的节点坐标系决定。

14)两种实体建模思路为:自底向上的CAD实体建模;自顶向下的CAD实体建模。

15)ANSYS中有一些重要的文件类型,如:二进制jobname.db 为数据库文件;二进制jobname.rxx 或jobname.rst为结果文件。

09年计算机专业试卷-专业课B及答案

09年计算机专业试卷-专业课B及答案

河南省2009年普通高等学校对口招收中等职业学校毕业生考试计算机类专业课试题卷一、选择题(数据库应用基础-Visual Foxpro6.0 1-10;计算机网络基础11-23)1.用二维表格来表示数据集合以及它们之间联系的数据模型是A.层次模型B.关系模型C.网状模型D.面向对象模型2.在Visual Foxpro 6.0主界面中,显示已隐藏的命令窗口,应使用的组合键是A.ALT+Q B.CTRL+Q C.CTRL+F2D.CTRL+F43.Visual Foxpro 6.0的PACK命令用来清除当前数据表文件的A.全部记录B.有删除标记的记录C.满足条件的字段D.满足条件的记录4.要修改当前数据表文件的“姓名”字段,应使用的命令是A.MODIFY COMMAND B.MODIFY QUERYC.MODIFY STRUCTURE D.MODIFY FORM5.非空数据表文件及其索引文件都已经打开,确保记录指针定位在记录号为1的记录,应使用的命令是A.GOTO TOP B.GOTO BOF C.SKIP 1 D.GOTO 16.下列命令中,只能关闭当前数据库的是A.CLOSE ALL B.USE C.CLOSE D.CLOSE DA TABASE 7.使用报表向导创建报表的过程中,进行到“定义报表布局”步骤时的选项有A.列数、方向、字段布局B.列数、行数、字段布局C.行数、方向、字段布局D.列数、行数、方向8.Visual Foxpro 6.0中,视图不能单独存在,它依赖于A.表B.数据库C.查询D.表单9.表达式V AL(SUBSTR("5.12汶川大地震",1,4))的运算结果是A.5.12B."5.12" C..T. D.出错10.以下有关索引的说法中正确的是A.建立主索引的主关键字值不能为空,但可以有重复数值B.主索引既可在自由表中创建,也可以在数据库表中创建C.侯选索引既可在自由表中创建,也可以在数据库表中创建D.建立唯一索引的索引关键字值不能有重复值11.建立计算机网络的目的是实现计算机资源共享。

硬件语言设计-- 学士 华科22年期末考试题库及答案

硬件语言设计-- 学士 华科22年期末考试题库及答案

硬件语言设计-- 学士华科22年期末考试题库及答案一、选择题1. 以下哪个不是硬件描述语言?A. VerilogB. VHDLC. C++D. Python答案:C2. 在Verilog中,模块的端口定义部分用关键字`_____`开头。

A. `module`B. `input`C. `output`D. `port`答案:B3. 在VHDL中,过程声明用关键字`_____`开头。

A. `function`B. `procedure`C. `process`D. `task`答案:B4. 下面哪个是硬件描述语言的主要优点?A. 可编程性B. 可移植性C. 可验证性D. 高性能答案:C5. 在Verilog中,如果想要在一个 always 块中同时检测多个条件,可以使用_____关键字。

A. ifB. caseC. forD. parallel答案:B二、填空题1. 在Verilog中,定义一个32位的寄存器可以用关键字`_____`。

答案:`reg [31:0]`2. 在VHDL中,一个实体声明的基本格式为:`_____ entity entity_name is`。

答案:`architecture`3. 在Verilog中,`always @(_____) begin` 用于组合逻辑描述。

答案:`posedge clk or negedge reset`4. 在VHDL中,要为一个信号分配一个值,可以使用_____语句。

答案:`<=`5. _____是一种常用的硬件描述语言,它具有较高的抽象层次,可以描述复杂的数字电路系统。

答案:Verilog三、简答题1. 请简述Verilog和VHDL的主要区别。

答案:Verilog和VHDL都是硬件描述语言,用于描述数字电路系统。

主要区别包括:- 语法结构:Verilog的语法结构类似于C语言,而VHDL的语法结构类似于汇编语言。

- 抽象层次:Verilog的抽象层次较高,可以描述较为复杂的电路系统;VHDL的抽象层次较低,更适合描述硬件细节。

大学计算机b级考试题目和答案解析

大学计算机b级考试题目和答案解析

大学计算机b级考试题目和答案解析一、选择题1. 在计算机中,1KB等于多少字节?A. 512字节B. 1024字节C. 1000字节D. 2048字节答案:B解析:在计算机中,1KB(千字节)等于1024字节。

这是因为计算机使用二进制系统,所以1KB = 2^10字节 = 1024字节。

2. 下列哪种设备不是计算机的输入设备?A. 键盘B. 鼠标C. 打印机D. 扫描仪答案:C解析:打印机是计算机的输出设备,用于将计算机处理的信息打印到纸张上。

而键盘、鼠标和扫描仪都是输入设备,用于将信息输入到计算机中。

3. 在Excel中,下列哪个函数用于计算一组数据的平均值?A. SUM()B. AVERAGE()C. MAX()D. MIN()答案:B解析:AVERAGE()函数用于计算一组数据的平均值。

SUM()函数用于计算总和,MAX()函数用于找出最大值,MIN()函数用于找出最小值。

4. 在HTML中,用于定义最重要的标题的标签是什么?A. <h1>B. <h6>C. <p>D. <div>答案:A解析:在HTML中,<h1>标签用于定义最重要的标题,<h6>标签用于定义最不重要的标题。

<p>标签用于定义段落,<div>标签用于定义文档中的分区或节。

5. 在数据库中,用于查询数据的SQL命令是什么?A. SELECTB. INSERTC. UPDATED. DELETE答案:A解析:SELECT命令用于从数据库中查询数据。

INSERT命令用于向数据库中插入数据,UPDATE命令用于更新数据库中的数据,DELETE命令用于从数据库中删除数据。

二、填空题1. 在计算机系统中,CPU的主要功能是______和控制。

答案:运算解析:CPU(中央处理器)是计算机的核心部件,其主要功能是执行运算和控制,包括算术运算、逻辑运算和控制数据流。

VerilogHDL复习题与答案

VerilogHDL复习题与答案

VerilogHDL硬件描述语言复习一、1. Verilog HDL 是在哪一年首次被I E E E标准化的答:Verilog HDL是在1995年首次被IEEE标准化的..2. Verilog HDL支持哪三种基本描述方式答:Verilog HDL可采用三种不同方式或混合方式对设计建模..这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模3. Verilog HDL 是由哪个公司最先开发的答:Verilog HDL是由Gateway Design Automation公司最先开发的4. Verilog HDL中的两类主要数据类型什么答:线网数据类型和寄存器数据类型..线网类型表示构件间的物理连线; 而寄存器类型表示抽象的数据存储元件..5. U D P代表什么答:UDP代表用户定义原语6. 写出两个开关级基本门的名称..答:pmos nmos7.写出两个基本逻辑门的名称..答:and or8.在数据流描述方式中使用什么语句描述一个设计答:设计的数据流行为使用连续赋值语句进行描述9. 采用结构描述方式描述1位全加器..答:module full_adda;b;cin;s;co;input a;b;cin;output s;co;wire S1;T1;T2;T3;xorX1S1;a;b;X2s;S1;cin;andA1T3;a;b;A2T2;b;cin;A3T1;a;cin;orO1co;T1;T2;T3;endmodule10. i n i t i a l语句与always 语句的关键区别是什么答: 1 initial语句:此语句只执行一次..2 always语句:此语句总是循环执行; 或者说此语句重复执行..11.采用数据流方式描述2 - 4译码器..答:'timescale 1ns/nsmodule Decoder2×4A;B;EN;Z;input A;B;EN;output 0:3Z;wire abar;Bbar;assign #1 Abar=~A;assign #1 Bbar=~B;assign #2 Z0=~Abar&Bbar&EN;assign #2 Z1=~Abar&B&EN;assign #2 Z2=~A&Bbar&EN;assign #2 Z3=~A&B&EN;endmodule1 2. 找出下面连续赋值语句的错误..assign Reset=#2 Sel^WriteBus;答:不符合连续赋值语句的语法;应该为:assign #2 Reset = ^ WriteBus;二、1. 下列标识符哪些合法;哪些非法C O u n T; 1_2 M a n y; \**1; R e a l ; \wait; Initial答:COunT合法;1_2 Many非法;\**1;Real 非法;\wait合法;Initial合法2. 在Verilog HDL中是否有布尔类型答:没有3. 如果线网类型变量说明后未赋值;其缺省值为多少答:z4. Verilog HDL 允许没有显式说明的线网类型..如果是这样;怎样决定线网类型答:在Verilog HDL 中;有可能不必声明某种线网类型..在这样的情况下;缺省线网类型为1位线网..5.下面的说明错在哪里i n t e g e r 0:3 R i p p l e;答:应该是integer Ripple 0:36. Verilog HDL有哪几大类数据类型答:verilog hdl 有两大类数据类型:线网类型和寄存器类型..7.Verilog HDL有哪几种寄存器类型答:有五种不同的寄存器类型:reg、integer、time、real、realtime..三、1. 假定长度为6 4个字的存储器; 每个字8位;编写Verilog 代码;按逆序交换存储器的内容..即将第0个字与第6 3个字交换;第1个字与第6 2个字交换;依此类推..答:reg 7:0 mem 63:0;integer i = 0;reg 7:0 temp;whilei < 32begintemp = memi;memi = mem63 - i;mem63 - i = temp;i = i + 1;end2. 假定3 2位总线A d d re s s _ B u s; 编写一个表达式;计算从第11位到第2 0位的归约与非.. 答:~& addressBus20:113. 假定一条总线C o n t ro l _ B u s 1 5 : 0 ;编写赋值语句将总线分为两条总线:A b u s 0 : 9 和B b u s 6 : 1 ..答:Abus = ControlBus9:0;Bbus = ControlBus15:10;4. 编写一个表达式;执行算术移位;将Qparity 中包含的8位有符号数算术移位..答:{Qparity7-i:0; Qparity7:8-i}//左移;i表示移的位数{Qparityi-1:0; Qparity7: i}//右移;i表示移的位数5.使用条件操作符; 编写赋值语句选择N e x t S t a t e的值..如果C u rre n t S t a t e的值为R E S E T; 那么N e x t S t a t e的值为G O;如果C u rre n t S t a t e的值为G O;则N e x t S t a t e 的值为B U S Y;如果C u rre n t S t a t e的值为B U S Y;则N e x t S t a t e的值为R E S E T..答:NextState = CurrentState == RESET Go : CurrentState == Go BUSY : RESET6. 如何从标量变量A;B;C和D中产生总线B u s Q0:3 如何从两条总线B u s A 0 : 3 和B u s Y 2 0 : 1 5 形成新的总线B u s R 1 0 : 1答:BusQ3:0 = {D; C; B; A}BusR10:1 = {BusY20:15; BusA3:0}四、1、Verilig HDL提供的内置基本门分为哪几类1 多输入门、2 多输出门、3 三态门2、多输入门与多输出门的区别在哪里答:多输入门:and nand nor or xor xnor 这些逻辑门只有单个输出; 1个或多个输入第一个端口是输出;其它端口是输入..多输出门有:buf; not 这些门都只有单个输入;一个或多个输出最后的端口是输入端口;其余的所有端口为输出端口..3、Verilog HDL内置的mos开关门有哪些答:cmos; nmos; pmos; rcmos; rnmos; rpmos4、门时延值的组成有哪几个值答:1 上升时延2 下降时延3 关断时延5. Verilig HDL提供的内置基本门分为哪几类答:1 多输入门2 多输出门 3 三态门4 上拉、下拉电阻5 MOS开关6 双向开关6.假定一条总线Control_Bus7:0;编写赋值语句将总线分为两条总线:Abus 0:2和Bbus 4 : 1 ..答:Abus=ControlBus2:0;Bbus=ControlBus15:12;7. 编写一个表达式;执行算术移位;将Qparity 中包含的8位有符号数算术左移3位..答:{Qparity4:0; Qparity7:5}8.要求采用数据流方式设计一个半加器;写出完整的Verilig HDL设计模块..答:module half_addSum; Cout;A; B;input A; B;output Sum; Cout;assign Sum=A^B;assign Cout=A&B;endmodule五、1、操作符有按操作数个数分为3 种类型;其中三目操作符有 2 个操作符和 3 个操作数..2、关键字全是小写;标识符的首字符必须是字母或下划线..3、数字A=5’b011 的表示z ..设B=5’b101x1;C=5’b01x11;则操作运算F=B+C的结果F= 5'bxxxxx ..4、VerilogHDL中保存字符串“Hello”需要 5 位..5、声明reg 7:0data4:0表示5 个8 位的存储单元..6、module testq;clk;crt;output q;reg q;Input clk;crt;always @posedge clkbeginifcrt==1q=~q;endendmodule7、数据流建模的主要语法结构是assign LHS_target = RHS_expression; 语句;采用assign 关键字开始..8、线网赋值延迟可以通过普通赋值延迟; 隐式连续赋值延迟和线网声明延迟三种方法来实现..9、模型引用时;要指定实例名;但硬件和用户定义原语例外..10、语句assign #2:3:4;5:6:7portout;clk;in中的典型关断延迟是 4 ;最大关断延时是7 ..11、VerilogHDL语言可以从四个不同的抽象层次描述电路;这四层是开关级、门级、寄存器传送级、算法级12、结构化建模的主要语句是内置门原语和用户定义原语..六、1.门级建模的类型有:Aor和AND BOR和andCand和or DA、B、C都正确 C2.VerilogHDL使用的是逻辑是:A二值逻辑B四值逻辑C三值逻辑D八种强度 B3.不属于寄存器类型的是:Ainteger BregCwand Dtime C4.VerilogHDL语言中;标识符的作用范围是:A本模块B外部模块C所有模块D全局模块 A5.具有多个输出端口的门是:Aand BorCnor Dnot D七、1、语句内部时延与语句前时延效果是否一样答:不一样2、当时延表达式为负数时;时延值是如何处理得到答:取绝对值3、VeriligHDL有几种循环语句分别采用关键字是什么答:总共有四种循环语句;分别采用forever、repeat、while、for..八、1.VerilogHDL语言和C语言的结构化语句有何不同答:1.Verilog HDL是在C语言的基础上发展起来的;保留了C语言的结构特点..2.C语言由函数组成;Verilog由模块module组成3.C语言通过函数名及其端口变量实现调用;Verilog也通过模块名和端口变量实现调用4.C语言有主函数main;Verilog的个module均等价;但必有一个顶层模块;包含芯片系统与外界的所有I/O信号5.C语言是顺序执行;而Verilog的所有module均并发执行6.C 语言与Verilog语法相似..2、VerilogHDL语言的操作符类型有哪些其数据流建模采用什么来描述设计吗答:算术、逻辑、关系、等价、按位、缩减、移位、拼接、条件数据流建模采用算术与逻辑来描述设计3、VerilogHDL语言的优点是什么答:Verilog HDL语言的优势:由于它在其门级描述的底层;也就是晶体管开关的描述方面比VHDL等各种其它的HDL语言有更强的功能..所以在复杂数字逻辑电路和系统的设计仿真时更有优势;描述的设计思想、电路结构和逻辑关系清晰明了;并且设计语言简练、易学易用;其模块化分层结构在大规模设计时更能体现出优势..因此可以看出;Verilog HDL语言在EDA设计中相对与其他的各种硬件描述语言更有优势..4、下列例子中;b;c;d的最终值分别是什么initialbeginb=1’b1;c=1’b0;#10 b=1’b0;endinitialbegind=#25{b|c};end答:b=1'b0、c=1'b0、d=1'b05.一位全减器模块wsub具有三个一位输入:x;y和z前面的借位;两个一位的输出D差和B借位..计算D和B的逻辑等式如下所示:..D..yx=++..+..xyzzzxyyzx..+=B.+yzyzxx写出VerilogHDL数据流描述的该全减器wsub..答: module wsubD;B;x;y;xinput x;y;z;output D;B;assign D=~x*~y*~z+~x*y*~z+x*~y*~Z+x*y*z;assign B=~x*y+~x*z+y*z;endmodule。

计算机硬件技术基础试题及答案卷B

计算机硬件技术基础试题及答案卷B

计算机基础知识参考试题及答案解析-B一、单选题1.1946年诞生的世界上公认的第一台电子计算机是()。

A)UNIVAC-I B)EDVAC C)ENIAC D)IBM650 【答案】C)【解析】1946年2月15日,人类历史上公认的第一台现代电子计算机在美国宾夕法尼亚大学诞生,名称为ENIAC。

2.第一台计算机在研制过程中采用了哪位科学家的两点改进意见()。

A)莫克利 B)冯?诺依曼 C)摩尔 D)戈尔斯坦【答案】B)【解析】众所周知,冯?诺依曼在发明电子计算机中起到关键性作用,他被西方人誉为“计算机之父”,其两点改进意见:一是采用二进制运算;二是将指令和数据存储,由程序控制计算机自动运行。

3.第二代电子计算机所采用的电子元件是()。

A)继电器 B)晶体管 C)电子管 D)集成电路【答案】B)【解析】第二代(1958~1964年)是晶体管时代。

IBM 7000系列是影响最大的第二代计算机的代表。

4.硬盘属于()。

A)内部存储器 B)外部存储器C)只读存储器 D)输出设备【答案】B)【解析】PC常用的外存是软磁盘(简称软盘)和硬磁盘(简称硬盘),此外光盘的使用也越来越普及。

5.显示器的什么指标越高,显示的图像越清晰()。

A)对比度 B)亮度 C)对比度和亮度 D)分辨率【答案】D)【解析】分辨率指显示器所能表示的像素个数,像素越密,分辨率越高,图像越清晰.6.下列的英文缩写和中文名字的对照中,正确的一个是()。

A)URL——用户报表清单 B)CAD——计算机辅助设计C)USB——不间断电源 D)RAM ——只读存储器【答案】B)【解析】URL——统一资源定位符,UPS——不间断电源,ROM——只读存储器。

7.下列关于ROM的叙述中,错误的是()。

A)ROM中的信息只能被CPU读取B)ROM主要用来存放计算机系统的程序和数据C)不能随时对ROM改写D)ROM一旦断电信息就会丢失【答案】D)【解析】ROM为只读存储器,只能读出不能写入。

数据结构-Python语言描述试卷(三)附答案

数据结构-Python语言描述试卷(三)附答案

数据结构试卷(三)一、选择题(每题2分,共20分)1. 设某数据结构的二元组形式表示为A=(D,R),D={01,02,03,04,05,06,07,08,09},R={r},r={<01,02>,<01,03>,<01,04>,<02,05>,<02,06>,<03,07>,<03,08>,<03,09>},则数据结构A是( B )。

A. 线性结构B. 树形结构C. 物理结构D. 图形结构2. 下面程序的时间复杂度为( B )。

1 i=12 s=03 w hile i<=n:4 i +=15 t =16 for j in range(1,i):7 t = t * j8 s = s + tA. O(n)B. O(n2)C. O(n3)D. O(n4)3. 设指针变量p指向单链表中的结点A,若删除单链表中的结点A,则需要修改指针的操作序列为( A )。

A. q=p.next; p.data=q.data; p.next=q.next;B. q=p.next; q.data=p.data; p.next=q.next;C. q=p.next; p.next=q.next;D. q=p.next; p.data=q.data;4. 设有n个待排序的记录关键字,在堆排序中需要( A )个辅助记录单元。

A. 1B. nC. nlog2nD. n25. 设一组记录关键字为(20,15,14,18,21,36,40,10),则以20为基准记录的一趟快速排序结束后的结果为( A )。

A. 10,15,14,18,20,36,40,21B. 10,15,14,18,20,40,36,21C. 10,15,14,20,18,40,36,21D. 15,10,14,18,20,36,40,216. 设二叉排序树中有n个结点,则二叉排序树的平均查找长度为( B )。

硬件描述语言

硬件描述语言


VHDL的程序至少由实体(entity)和结构体(architecture)两部分组成 实体的作用、结构体的作用
entity adder1 is port( a:in bit; b:in bit; s:out bit; co:out bit ); end adder1; --以上是半加器的实体说明 architecture adder1_arch of adder1 is begin s<=a xor b; co<=a and b; end adder1_arch; --以上是半加器的结构体定义
2008-09~2008.12

VHDL 语 言 有 两 个 标 准 版 : VHDL’87 版 和 VHDL’93 版 。 VHDL’87 版 的 标 识 符 语 法 规 则 经 过 扩 展 后 , 形 成 了 VHDL’93版的标识符语法规则。前一部分称为短标识符, 扩展部分称为扩展标识符。VHDL’93版含有短标识符和扩 展标识符两部分。
硬件描述语言
VHDL概述及其开发环境

1 硬件描述语言的概念、地位、用途、优点 2 VHDL代码如何变成电路 3 VHDL程序框架(实体+结构) 4 MAX+plusII快速入门
2008-09~2008.12
1 硬件描述语言的概念、地位、用途、优点


概念:VHDL是VHSIC(Very High Speed Integrated Circuit) Hardware Descriptions Language的缩写,即超高速集成电路 的硬件描述语言。VHDL语言能够描述硬件电路的结构、行为与 功能。 历史:随着大规模专用集成电路ASIC(Application-specific IC)的开发和研制,为了提高开发的效率,增加已有成果的可继 承性,各ASIC研制和生产厂家相继开发了用于各自目的的硬件 描述语言。其中最有代表性的是美国国防部开发的VHDL语言。 Viewlogic公司开发的Verilog HDL以及ALTERA公司开发的AHDL 语言。VHDL硬件描述语言在1987年被接纳为IEEE 1076标准,并 且在1993年进行了扩展,修订为新的VHDL语言标准IEEE 1164, 1996年,IEEE 1076.3成为VHDL的综合标准。1995年,中国国家 技术监督局发布的《CAD通用技术规范》中也明确推荐采用VHDL 作为我国电子设计自动化硬件描述语言的国家标准。

08-09学年下《计算机应用基础》模拟考B卷答案

08-09学年下《计算机应用基础》模拟考B卷答案

广西农职院2008—2009学年下学期《计算机应用基础》理论模拟考试第一部分必答模块必答模块1:基础知识(每项1.5分,14项,共21分)1、计算机的功能中不包括______.A. 数据计算B. 创造发明C. 自动控制D. 辅助设计2、因为计算机采用了“存储程序控制机制”,所以能够。

A.高速运行 B.正确运行C.自动运行 D.进行逻辑思维3、我们平常所说的“裸机”是指______.A.无显示器的计算机系统B.无软件系统的计算机系统C.无输入输出系统的计算机系统D.无硬件系统的计算机系统4、计算机按规模、运算速度、存储容量、字长等综合性能指标分类,可分为巨型机、中型机、大型机、小型机和。

A.计算机 B.重型机C.迷你机 D.微型机5、系统软件和应用软件的相互关系是。

A.前者以后者为基础B.后者以前者为基础 C.每一类都不以另一类为基础 D.每一类都以另一类为基础6、关于ASCII码编码在计算机中的表示方法准确的描述应该是 8 。

A.使用8位二进制,最右边的一位是1 B.使用8位二进制,最左边的一位是1C.使用8位二进制,最右边的一位是0 D.使用8位二进制,最左边的一位是07、计算机辅助教学的英文简写是_____.A. CATB. CADC. CAID. CAM8、衡量存储器大小的统一单位是字节,那么位二进制为一个字节。

A.2 B.4 C.6 D.89、若突然停电,则计算机 10 会丢失。

A.硬盘中的数据与程序B.RAM中的数据与程序 C.ROM中的数据与程序 D.ROM和RAM中的数据与程序10、按对应的ASCII码值来比较,不正确的是 13 。

A. “c”比“a”大B. “T”比“Q”大C. 逗号比空格大D. “H”比“h”大11、磁盘和光盘等外存储器的数据必须才能被CPU直接处理。

A.必须调入RAM B.转换成二进制形式的数字信号 C.必须调入ROM D.翻译成机器语言表示的目标程序12、以二进制和程序控制为基础的计算机结构是由____最早提出的.A. 布尔B. 巴贝奇C. 冯.诺伊曼D. 图灵13、32位的微机是指该计算机所用CPU 。

北工大-数字逻辑基础与verilo硬件描述语言课后答案-清华大学出版社-2013最新

北工大-数字逻辑基础与verilo硬件描述语言课后答案-清华大学出版社-2013最新

1.13 求(0100 1001 0110)8421关于(1000)10的补数的8421码表示。
(0100 1001 0110)8421→(496)10 (1000)10 –(496)10 =(504)10 →(0101 0000 0100)8421
(3FF) 16 =(1023) 10 =(1111111111) 2 =(1777) 8 (1A.5)H=(11010.0101)B=(26.3125)D=(32.24)O (376)8=(11111110)2=(FE)16 (44.375)10=(101100.011)2=(54.3)8 1.4 完成下列运算 (110101)2 +(101001)2=(1011110)2 (1001101)2 –(110011)2=(11010)2 (A378)16+(4631)16=(E9A9)16 (1B34)16 –(CA5)16=(E8F)16 借助二进制
1.10 先写出下列十进制数 x 的真值,再写出所对应的一字节 长(1位符号,7位数值)的补码。
十进制数 x 7位真值 [x]补
13/64
–13/128 15/32 –11/64
0.0011010
–0.0001101 0.0111100 –0.0010110
0.0011010
1.1110011 0.0111100 1.1101010
1.7 已知[x]补,求[x]原、[x]反及真值 x
已知[x]补 10111010 1.1111111 0.0101010 10000000 [x]原 11000110 1.0000001 0.0101010 无 [x]反 10111001 1.1111110 0.0101010 无 真值 x –1000110 –0.0000001 + 0.0101010 –10000000

08-09江苏省真题计算机三级偏软,附有答案和解析!!

08-09江苏省真题计算机三级偏软,附有答案和解析!!

三级偏软模拟08年秋(本试卷考试时间为150分钟)说明:1.本试卷题型分两类:选择题和填空题。

2.选择题使用答题卡答题。

每一选择题有A、B、c、D四个可供选择的答案,请将其中一个正确答案按题序涂写在答题卡的相应题号中。

3.填空题使用答题纸答题。

请将答案填入答题纸上的相应题号中,不得转行。

4.请检查答题卡和答题纸上的学校和准考证号.等是否已分别按规定填好。

一、选择题(共有70个空格,每格1分,共70分,请务必使用答题卡答题)I.计算机基础知识(10分)3.已知+64和一64在某计算机中分别表示为01000000、11000000,则该计算机所用的3。

A.必为补码 B.必为原码C.必为反码 D.尚不能确定是原码还是补码”4.字长为16位的数-128,其补码为A.80H. B.8000H C.FF80H D.8080H5.已知某浮点数的阶码为5位(含阶符l位,补码表示),尾数为11位(含数符1位,补码表示),则规格化后除零外,绝对值最小的数是5。

A.2^16 B.2^-17 C.2^-26 D.2^-276.设[x]反=24H,其[x]补和[-x/2]补分别是6。

A.57H,D5H B.D7H,2BH C.24H,EEH D.24H,EDH 7.用补码表示的两个符号数ABOOH和FFH,在进行16位相加后,进位标志和溢出标志分别是A.1、0 B.0、1 C.0,0 D.1、18.Pentium机中,与硬盘接口相连的总线是8。

A.PCI B.ISA C.EIsA D.MCA ,9.下列关于微型计算机基本原理方面的叙述,正确的是9。

A.微处理器、微控制器的结构是完全相同的B.微型计算机的基本原理是“程序存储与控制”C.所有机器指令的执行都由微程序控制D.各种微型计算机都是一条指令执行完后再执行另一条指令10.下列说法错误的是10。

A.机器周期是指微型计算机执行一个基本操作所需的时间B.指令周期是指执行一条指令所需的时间C.操作系统是人与计算机之间的接El,负责资源管理D.汇编程序是指用汇编语言编写的源程序Ⅱ.软件基础和应用(51分) .11.第一个以资源共享:信息传输为主要目标的计算机网络ARPANET(因特网的前身)于( )年问世。

VHDL试卷

VHDL试卷

2009/2010 学年第一学期末考试试题答案及评分标准(A卷)一、填空题(20分,每空格1分)1、一个完整的VHDL语言程序通常包含实体(entity),构造体(architecture),配置(configuration), 包集合(package)和库(library) 5各部分.2、在一个实体的端口方向说明时,输入使用in表示,那么构造体内部不能再使用的输出是用 out 表示;双向端口是用 inout 表示;构造体内部可再次使用的输出是用 buffer 表示;3、一个构造体可以使用几个子结构,即相对比较独立的几个模块来构成。

VHDL语言可以有以下3种形式的子结构描述语句: BLOCK 语句结构; PROCESS 语句结构和SUBPROGRAMS 结构。

4、VHDL的客体,或称数据对象包括了常数、变量variable 和信号signal 。

5、请列出三个VHDL语言的数据类型,如实数、位等。

位矢量,字符,布尔量。

6、设D0为'0’, D1为'0', D2为'1', D3为'0’, D0 & D1 & D2 & D3的运算结果是“0010”,D3 & D2 & D1 & D0的运算结果是“0100”。

7、构造体的描述方式包括三种,分别是寄存器传输(RTL)描述方法或称数据流;构造体的结构描述方式和构造体的行为描述方式 .二、判断对错并给出判断依据(20分,每小题5分,判断对错2分,给出正确答案3分)1、传统的系统硬件设计方法是采用自上而下(top down)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自下而上(bottom up)的设计方法。

(×)传统的系统硬件设计方法是采用自下而上(bottom up)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自上而下(top down)的设计方法2、VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体 ( √)3、一个VHAL程序中仅能使用一个进程(process)语句. (×)可以使用多个进程语句.4、VHDL语言的预算操作包括了逻辑运算符、关系运算符、乘法运算符等,它们三者的优先级是相同的。

广工2008汇编语言(B)带答案注释

广工2008汇编语言(B)带答案注释

广东工业大学试卷用纸,共 5页,第1 页7.中断向量表中地址为0:124H的中断类型号是( C )。

中断类型号乘与4等于向量地址,所以124H除于4=49H,注意16进制的算法A. 48HB. 4AHC. 49HD. 50H8.取变量或标号偏移地址的伪操作符是( A )。

A. OFFSETB. PTRD. SEG9.要使寄存器CL的最高位为1其它位保持不变,应使用的指令是( B )。

OR指令:AB变量中只要有一个变量取值为1,则它们或运算结果就是180H就是1000 000,所以最高位一定是1,其他位根据A变量决定A. XOR CL, 80HB. OR CL, 80HC. AND CL, 7FHD. NOT CL10.从键盘输入大写字母A,则在寄存器AL中存放的值为( C )。

A字母对应的ASCII码为65,65化为16进制为41HA. 31HB. 51HC.41HD.61H11.若A,B为无符号数,执行CMP A,B指令后,要求A>B时转移的条件指令为(B )。

A. JLEB. JAC. JBED. JG无符号数比较:ja/jb有符号数比较:jg/jl12.下列指令执行后总是使CF=0,OF=0的是( B )。

包括除了not至另外的所有逻辑运算指令(AND,OR,XOR,TEST)A.NEGB.TESTC. NOTD. DEC13.在使用DOS功能调用INT 21H时,要在屏幕上显示一个字符‘2’,应将AH设置为( C )。

A. 09HB. 31HC. 02HD. 01H14. 指令MOV AX,[SI+BX]中源操作数隐含的段为( B )。

A. 代码段B. 数据段C. 堆栈段D. 附加段15.已知SP=2000H,执行RET 2后(RET为段间返回指令),SP的值为( A )。

段间返回指令时远返回近返回还是远返回执行的操作不同如果是近返回:SP=SP+2+m如果是远返回:SP=SP+4+m16.A. 2006HB. 2004HC. 2002HD. 1FFAH广东工业大学试卷用纸,共5页,第2 页广东工业大学试卷用纸,共5页,第3 页广东工业大学试卷用纸,共5页,第5 页广东工业大学试卷用纸,共5页,第7 页广东工业大学试卷用纸,共5页,第8 页。

09年实时操作系统b卷答案

09年实时操作系统b卷答案

服务程序之间的同步.计数信号量用于控制系统中共享资源的多个实例的使用,允许多个任务同时访问同一 种资源的多个实例. .2.操作方式不同:互斥信号量的值被初始化成1,表明目前没有任务进入“临界区”,但最多只有一个任务可 以进入“临界区”。第一个试图进入“临界区”的任务将成功获得互斥信号量,而随后试图进入用同一信号量保 护的临界区的所有其他任务就必须等待。当任务离开“临界区”时,它将释放信号量并允许正在等待该信号量 的任务进入“临界区”。 用于同步的二值信号量初始值为0,表示同步事件尚未产生;任务申请信号量以等待 该同步事件的发生;另一个任务或ISR到达同步点时,释放信号量(将其值设置为1)表示同步事件已发 生,以唤醒等待的任务。他的特别之处是允许任何任务甚至使开始没有获取过他的信号释放它.计数信号量 被初始化为n(非负整数),n为该种共享资源的数目。如果值不为0,表示有空闲的资源实例, 可以被分配给 任务且值减1,被任务释放则值加1
逻辑地址
2.请阐述MMU基于页表的内存映射过程﹔并且画出示意图。(15%)
物理地址 页号 偏移量
页框架 偏移量 页表基址寄存器 特权和其他信息 页框架 页表
2.已知总线的宽度为64BIT,总线带宽为528MB/S,请求出总线频率(6%)
答: 总线带宽 =(总线宽度/8)* 总线频率
528/(64/8)=66(MHZ)
2.简述任务主要包含哪些状态?并就状态之间的变迁情况进行描述
答:任务主要的状态有:就绪;执行和等待.任务获得运行所需的除CPU以外的资源后进入就绪状态;正在运行的任 务因为资源缺乏或某种原因退出运行态,它的状态就根据内核调度算法或者进入等待状态,或者重新进入就绪态, 甚至被终止,这时内核重新从就绪队列中依照某种调度协议选中一个任务进入运行态. 见附图1

中北大学硬件描述语言及器件试题及标准答案

中北大学硬件描述语言及器件试题及标准答案

中北大学试题答案及评分标准硬件描述语言及器件课程(课程名称须与教学任务书相同)20xx/20xx 学年第一学期试题类别 A拟题日期拟题教师课程编号教师编号使用班级备注:试题答案要求按指定规格计算机打印,并将其文本与电子稿一并上交:①校级考试课程交评估与考试中心命题科;②院级考试课程交院教务科。

20xx/20xx 学年第一学期末考试试题答案及评分标准(A卷)硬件描述语言及器件使用班级: xxxx一、填空题(20分,每空格1分)1、VHDL语言中标识符通常分为短标识符,扩展标识符两种。

2、VHDL对象包含常量,信号,变量,文件 4个基本数据类型。

3、VHDL语言中,数据类型常量说明的一般格式为: CONSTANT常数名:数据类型:=表达式;。

4、VHDL中位矢量类型表达为 bit ,位向量类型表达为 bit_vector() 。

5、VHDL语言有类型标记法,函数转换法,常数转换法 3种不同类型的数据变换方法。

6、VHDL中,设D0为“1001”, D1为'0', D2为“0110”。

D0 & D1的运算结果是“10010”,D0 & D2的运算结果是“10010110”。

7、VHDL语言中包括四种运算操作符,分别是逻辑运算符,算术运算符,关系运算符,和并置运算符。

8、为了启动进程,VHDL语言中必须包含一个显示的敏感信号量表或者包含一个wait语句。

二、判断对错并给出判断依据(20分,每小题5分,判断对错2分,给出正确判断依据3分)1、进程之间的通信可以通过变量传递来实现。

(×)进程之间的通信需通过信号传递实现。

2、VHDL语言的高速性体现在其进程之内的带入语句都是并行执行的。

(×)进程之内的带入语句是顺序执行的。

3、语句y <= a when s=”00” elseb when s=”01” elsec when s=”10” elsed;中,s=”00”条件的优先级最高(√)4、com1:u1 PORT MAP(a => n1,b => n2,c => m);语句中采用了位置映射的信号端口映射方式。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

2008/2009 学年第一学期末考试试题答案及评分标准
(B卷)
硬件描述语言及器件
使用班级:06060241/06060242
一、填空题(30分,每空格1分)
1、试举出两种可编程逻辑器件 CPLD 、 FPGA 。

2、VHDL程序的基本结构至少应包括实体、结构体两部分和对
库的引用声明。

3、1_Digital标识符合法吗?否, \12 @ +\ 呢?合法。

4、在VHDL的常用对象中,信号、变量可以被多次赋予不同的值,
常量只能在定义时赋值。

5、实体的端口模式用来说明数据、信号通过该端口的传输方向,端口模式有 in 、
Out 、 inout 、 buffer 。

6、VHDL语言中std_logic类型取值‘Z’表示高阻,取值‘X’表示不确定。

7、整型对象的范围约束通常用 range 关键词,位矢量用 downto/to 关键词。

8、位类型的初始化采用(字符/字符串)字符、位矢量用字符串。

9、进程必须位于结构体内部,变量必须定义于进程/包/子程序内部。

10、并置运算符 & 的功能是把多个位或位向量合并为一个位向量。

11、进程执行的机制是敏感信号发生跳变。

12、判断CLK信号上升沿到达的语句是 if clk’event and clk = ‘1’ then .
13、 IF 语句各条件间具有不同的优先级。

14、任何时序电路都以时钟为驱动信号,时序电路只是在时钟信号的边沿到来时,
其状态才发生改变。

15、 Moore 状态机输出只依赖于器件的当前状态,与输入信号无关。

二、判断对错并改正(12分,每小题3分)
1、CONSTANT T2:std_logic <= ’0’;
(错)改正:把<= 换为:= 。

2、若某变量被定义为数值型变量,未赋初始值时默认值为‘0’。

(错)改正:把‘0’的单引号去掉。

3、在结构体中定义一个全局变量(V ARIABLES),可以在所有进程中使用。

(错)改正:“变量(V ARIABLES)”改为“信号”。

4、语句 type wr is (wr0,wr1,wr2,wr3,wr4,wr5); 定义了一个状态机变量wr,
可以直接对wr赋值。

(错)改正:语句 type wr is (wr0,wr1,wr2,wr3,wr4,wr5); 定义了一个状态机类型wr,需要定义一个该类型的对象,才可以对该对象赋值。

三、简答(8分,每小题4分)
1、简述如何利用计数器精确控制时序。

⏹只要知道晶振频率f,即可知道周期T=1/f;
⏹使用一个计数器,可以通过计数值n,精确知道当计数值为n时消耗的时间t=nT;
⏹上例中以n为控制条件,可以控制其它信号在某时刻变高,某时刻变低,从而产生精
确时序;
例如:
⏹PROCESS (clr,clk)
⏹BEGIN
⏹ IF(clr=1) THEN
⏹Count_B<=“00000000”;
⏹q <= ‘0’;
⏹ ELSIF (clk'EVENT AND clk = ‘1’ ) THEN
⏹Count_B<=count_B + 1;
⏹IF (Count_B = “00000000”) THEN
⏹q <= ‘1’;
⏹ELSIF (Count_B = “00000001”) THEN
⏹q <= ‘0’;
⏹ELSIF (Count_B = “00000011”) THEN
⏹q <= ‘1’;
⏹ELSIF (Count_B = “00000100”) THEN
⏹q <= ‘0’;
⏹END IF;
⏹ END IF;
⏹END PROCESS;
2、简述moore状态机和mealy状态机的区别。

从输出的时序上看,Mealy机的输出是当前状态和所有输入信号的函数,它的输出是在输入变化后立即发生的。

Moore机的输出则仅为当前状态的函数,在输入发生变化时还必须等待时钟的到来,时钟使状态发生变化时才导致输出的变化。

Moore型状态机:次态=f(现状,输入),输出=f(现状);
Mealy型状态机:次态=f(现状,输入),输出=f(现状,输入);
四、编程(共50分)
1、完成下图所示的触发器。

(本题10分)
library IEEE;
use IEEE.std_logic_1164.all;
entity VposDff is
port (CLK, CLR, D: in STD_LOGIC; ----------2分
Q, QN: out STD_LOGIC ); ----------4分
end VposDff;
architecture VposDff_arch of VposDff is
begin
process ( CLK, CLR ) ----------6分
begin
if CLR='1' then Q <= '0'; QN <='1';
elsif CLK'event and CLK='1' then
Q <= D; QN <= not D; ----------8分 end if;
end process; ----------10分end VposDff_arch;
2、完成以下4位全加器代码(本题10分)
library IEEE;
use IEEE.std_logic_1164.all;
entity full_add is
port (
a,b: in std_logic_vector (3 downto 0);
cin: in std_logic;
cout: out std_logic;
sum: out std_logic_vector (3 downto 0)
);
end full_add;
architecture full_add_arch of full_add is
component adder
port ( a,b,c: in std_logic;
carr: out std_logic;
sum: out std_logic );
end component;
signal c1,c2,c3: std_logic; 2分
begin
u0:adder port map(a(0),b(0),cin,c1,sum(0)); 4分
u1:adder port map(a(1),b(1),c1,c2,sum(1)); 5分
u2:adder port map(a(2),b(2),c2,c3,sum(2)); 6分
u3:adder port map(a(3),b(3),c3,cout,sum(3)); 10分end full_add_arch;
3、补充完整如下代码,使之完成4状态不断循环。

(本题10分)
ARCHITECTURE arc OF ss IS
type states is ( st0,st1,st2,st3 ); 2分
signal outc: states; 4分
BEGIN
PROCESS(clk)
BEGIN
IF reset='1' then
outc <=st0 ; 6分
elsif clk'event and clk='1' then
CASE outc IS
WHEN st0 => outc <= st1; 7分
WHEN st1 => outc <= st2; 8分
WHEN st2 => outc <= st3; 9分
WHEN st3 => outc <= st0; 10分
WHEN OTHERS => outc <=st0;
END CASE;
end if;
END PROCESS;
END arc;
4、设计异或门逻辑:(本题20分)
如下异或门,填写右边的真值表。

(此项5分)
其表达式可以表示为:(此项5分)
这一关系图示如下:
试编写完整的VHDL代码实现以上逻辑。

可以采用任何描述法。

(此项10分)
a
library ieee;
use ieee.std_logic_1164.all; 1分entity yihuo1 is
port( a,b :in std_logic;
y :out std_logic );
end yihuo1; 4分architecture yihuo1_behavior of yihuo1 is
begin 7分process(a,b) y<=a xor b;
begin (第2种写法)
if a=b then
y<='0';
else
y<='1';
end if;
end process;
end yihuo1_behavior; 10分。

相关文档
最新文档