电子系统综合设计报告
电子技术综合课程设计实习报告
电子技术综合课程设计实习报告一、实习目的与要求本次电子技术综合课程设计实习旨在让我们更好地将所学的理论知识与实际操作相结合,提高我们的实践能力和创新能力。
实习要求我们设计一个具有实际应用价值的电子系统,要求系统具有稳定性、可靠性、易于操作等特点。
二、实习内容与过程1. 选题与方案确定:在实习开始阶段,我们首先进行了选题。
在教师的指导下,我们选择了设计一个数字频率计作为实习项目。
接下来,我们查阅了相关资料,分析了数字频率计的工作原理,并确定了设计方案。
2. 电路设计与仿真:根据设计方案,我们开始了电路设计。
首先,我们设计了数字频率计的原理图,包括时钟电路、计数电路、显示电路等。
然后,利用Multisim软件对电路进行了仿真,验证了电路的功能和稳定性。
3. 器件选型与采购:在电路设计过程中,我们需要对所需的电子元件进行选型。
在教师的建议下,我们选择了性能稳定、成本合理的元件。
随后,我们进行了元件的采购。
4. 电路调试与优化:在元件采购回来后,我们开始了电路的搭建和调试。
在调试过程中,我们发现了一些问题,如信号干扰、计数误差等。
针对这些问题,我们进行了电路的优化,提高了系统的性能。
5. 系统测试与总结:在电路调试完成后,我们对数字频率计进行了系统测试,验证了其功能和性能指标。
最后,我们对整个实习过程进行了总结,分析了收获和不足之处。
三、实习成果与分析通过本次实习,我们成功设计并实现了一个数字频率计,该频率计具有以下特点:1. 功能完善:数字频率计能够准确测量输入信号的频率,并显示频率值。
2. 稳定性高:通过电路的优化,我们降低了信号干扰,提高了系统的稳定性。
3. 易于操作:数字频率计的操作界面简单直观,便于用户使用。
4. 性能指标满足要求:数字频率计的测量精度、计数范围等性能指标均满足实习要求。
通过本次实习,我们不仅提高了自己的实践能力,还培养了团队合作精神。
在实习过程中,我们学会了如何查阅资料、分析问题、解决问题。
电子系统设计实验报告
基于单片机和FPGA的等精度频率计一、设计任务工作频率通信系统极为重要的参数,频率测量是通信系统基本的参数测试之一。
本设计的主要任务是使用单片机与 EDA 技术设计制作一个简易的等精度频率测试仪,可对输入周期信号的频率进行测量、显示。
被测信号的频率范围和测试的精度要求见相应的设计任务书。
二、设计框图图 1 硬件系统原理框图等精度频率计的主系统硬件框图如图1 示,主要由以下几部分组成:(1)信号整形电路:用于对于放大信号进行放大和整流,以作为PLD 器件的输入信号(2)测频电路:是测频的核心电路模块,由FPGA 或CPLD 器件组成。
(3)单片机电路模块:用于控制FPGA 的测频操作和读取测频数据,并做出相应处理。
(4)数码显示模块:用8 个数码管显示测试结果,考虑到提高单片机I/O 口的利用率,降低编程复杂性,提高单片机的计算速度以及降低数码显示器对主系统的干扰,可以采用串行静态显示方式。
三、测频原理分析3.1 等精度频率测试的原理频率是一个基本的物理量,其它的物理量可以转换为频率进行测量。
测试频率的基本方法包括直接测频和测周法。
其中直接测频法是产生一个标准宽度(例如1s)的时基信号,然后在这个信号时间范围内打开闸门对被测频率信号进行计数。
此方法的弱点之一是高精度的标准时基信号不容易获得;其二,这种方法对于高频信号的测量精度比较有保证,但是对于低频信号由于计数周期有限测试精度较低。
测周法是用被测信号作为闸门信号、对标准脉冲信号进行计数,显然这种方法适合测量低频信号的频率。
等精度测频法的核心思想是用两个计数器分别对标准和被测脉冲进行计数,计数的时间严格同步于被测脉冲。
这种方法的最大优点是测试的精度和被测信号的频率无关,因而可以做到等精度测量。
其测试原理如图2 示。
预置闸门信号是测试命令,即测频的使能信号,该信号为高电平的期间进行测频。
但是当预置闸门信号为高电平时,测频并不是立即开始,而是要等到被测信号的上升沿到来以后,实际闸门信号跳为高电平,测频才真正开始。
电子系统设计实验报告
实验报告格式要求二.实验目的、任务和要求:本实验要求设计SCI串行接口芯片, 其功能包括串行及并行数据的接收和互相转换。
三.实验系统结构设计分析1.模块划分思想和方法:该芯片需根据功能分为串并转换电路和并串转换电路两部分。
实现串并转换的关键器件就是移位寄存器, 其功能可以使串行输入的数据先寄存到一个位矢量中, 等到一组数据全部输入完毕后再一起处理, 并行输出。
而实现并串转换的关键器件是锁存器, 它可以将并行输入的数据先锁存起来, 再一位一位的转化成串行数据。
计数器在这一芯片中也起到了重要作用, 因为计数器可以产生时间脉冲的分频, 用于配合时间脉冲控制各器件的工作。
2.各模块引脚定义和作用.串并电路:输入: rxd读入数据, clk系统时钟, reset计数器复位端, rd读入控制四进制计数器:C4四分频十进制计数器:Count_10计数分量, C10四十分频(c4的十分频)移位寄存器:Read读入数据, d0~d9并行输出(d0起始端, d1~d8数据端, d9校验位(本实验中不起作用))锁存器:K0~k7数据位状态发生器:RdST读入状态(0为读入, 1为寄存器已满)四.实验代码设计以及分析:1.给出模块层次图;2.按模块完成的代码及注释.USE IEEE.STD_LOGIC_1164.ALL;ENTITY SCI ISPORT(cs,rxd,clk,SCIrd,reset,SCIwr,in7,in6,in5,in4,in3,in2,in1,in0: IN STD_LOGIC;rdFULL,tdEMPTY,c4:buffer std_logic;e7,e6,e5,e4,e3,e2,e1,e0,wxd:OUT STD_LOGIC);END SCI;ARCHITECTURE WORK OF SCI ISSIGNAL wr,rd,read,c10,d9,d8,d7,d6,d5,d4,d3,d2,d1,d0,k7,k6,k5,k4,k3,k2,k1,k0,mid: STD_ULOGIC;SIGNAL wri : STD_LOGIC_vector(7 downto 0);SIGNAL count_10 ,counter_8:std_logic_vector(3 downto 0);BEGINPROCESS(cs)BEGINrd<=cs OR SCIrd;wr<=cs OR SCIwr;END PROCESS;//注释: 片选输入, cs=1时, 串入并出为“写”, 并入串出为“读”;cs=0时, 串入并出为“读”, 并入串出为“写”;PROCESS(rxd)BEGINread<=rxd;END PROCESS;PROCESS(clk)VARIABLE count_4 : STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINIF(clk'EVENT AND clk='1')THENIF(count_4="00")THENcount_4 := "01";c4 <= '1';ELSIF(count_4="01")THENcount_4 := "11";c4 <= '1';ELSIF(count_4="11")THENcount_4 := "10";c4 <= '0';ELSIF(count_4="10")THENcount_4 := "00";c4 <= '0';END IF;END IF;END PROCESS;PROCESS(c4)BEGINIF(c4'EVENT AND c4='1')THENIF(rd='1')THENd0<=read;d1<=d0;d2<=d1;d3<=d2;d4<=d3;d5<=d4;d6<=d5;d7<=d6;d8<=d7;d9<=d8;END IF;END IF;END PROCESS;PROCESS(c4,reset,rd)BEGINIF(reset='0' OR rd='0')THEN count_10<="0000";c10 <= '0';ELSIF(c4'EVENT AND c4='1')THENIF(count_10="0000" AND rxd='1' AND rdFULL='0')THEN count_10 <= "0001";c10 <= '0';ELSIF(count_10="0001")THENcount_10 <= "0010";c10 <= '0';ELSIF(count_10="0010")THENcount_10 <= "0011";c10 <= '0';ELSIF(count_10="0011")THENcount_10 <= "0100";c10 <= '0';ELSIF(count_10="0100")THENcount_10 <= "0101";c10 <= '0';ELSIF(count_10="0101")THENcount_10 <= "0110";c10 <= '0';ELSIF(count_10="0110")THENcount_10 <= "0111";c10 <= '0';ELSIF(count_10="0111")THENcount_10 <= "1000";c10 <= '0';ELSIF(count_10="1000")THENcount_10 <= "1001";c10 <= '0';ELSIF(count_10="1001")THENcount_10 <= "1010";c10 <= '0';ELSIF(count_10="1010")THENcount_10 <= "1011";c10 <= '1'; END IF;END IF;END PROCESS;PROCESS(c10)BEGINIF(c10'EVENT AND c10='1')THENk7<=d8;k6<=d7;k5<=d6;k4<=d5;k3<=d4;k2<=d3;k1<=d2;k0<=d1;END IF;END PROCESS;PROCESS(rd)BEGINIF(rd='0')THENe7<=k7;e6<=k6;e5<=k5;e4<=k4;e3<=k3;e2<=k2;e1<=k1;e0<=k0;END IF;END PROCESS;PROCESS(rd,c10)BEGINIF(rd='0')THEN rdFULL<='0';ELSIF(c10='1')THENrdFULL<='1';ELSE rdFULL<='0';END IF;END PROCESS;process(wr)beginif(wr='0')thenwri(0)<=in0;wri(1)<=in1;wri(2)<=in2;wri(3)<=in3;wri(4)<=in4;wri(5)<=in5;wri(6)<=in6;wri(7)<=in7;end if;end process;process(c4)beginif(c4'event and c4='1')thenif(wr='0')thencounter_8<="0000";elsif(wr='1' and counter_8="0000")then counter_8<="0001"; elsif(counter_8="0001")then counter_8<="0010";elsif(counter_8="0010")then counter_8<="0011";elsif(counter_8="0011")then counter_8<="0100";elsif(counter_8="0100")then counter_8<="0101"; elsif(counter_8="0101")then counter_8<="0110";elsif(counter_8="0110")then counter_8<="0111";elsif(counter_8="0111")then counter_8<="1000";elsif(counter_8="1000")then counter_8<="1001";end if;end if;end process;process(wr,counter_8)beginif(wr='1' and counter_8="1001")thenmid<='1';tdEMPTY<='1';elsif(wr='0')thenmid<='0';tdEMPTY<='0';end if;end process;process(counter_8)beginif(wr='0' or mid='1')thenwxd<='0';elsif(wr='1' and mid='0')thenif(counter_8="0001")thenwxd<=wri(0);elsif(counter_8="0010")thenwxd<=wri(1);elsif(counter_8="0011")thenwxd<=wri(2);elsif(counter_8="0100")thenwxd<=wri(3);elsif(counter_8="0101")thenwxd<=wri(4);elsif(counter_8="0110")thenwxd<=wri(5);elsif(counter_8="0111")thenwxd<=wri(6);elsif(counter_8="1000" )thenwxd<=wri(7);end if;end if;end process;END WORK;五.仿真图(输入输出波形)以及分析:六.实验问题分析和经验总结:在该实验的设计中, 我们发现时序逻辑中最重要的部分就是时间信号对各进程的控制, 因为为了保持各进程在时间上的同步性和正确性, 需要用一个或几个相关联的时间信号来控制各进程。
电子系统设计实验报告
电子系统设计实验报告电子系统设计实验报告引言:电子系统设计是现代科技领域中非常重要的一部分,它涉及到电子元件、电路设计、信号处理等多个方面的知识。
本次实验旨在通过设计一个简单的电子系统来加深对电子系统设计的理解和掌握。
实验目的:本次实验的目的是设计一个基于Arduino的温度监测系统。
通过该系统,能够实时监测环境温度并将数据显示在LCD屏幕上。
实验器材:1. Arduino开发板2. 温度传感器3. LCD显示屏4. 连接线等实验步骤:1. 首先,将温度传感器与Arduino开发板连接。
将传感器的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将信号引脚连接到Arduino的A0引脚。
2. 接下来,连接LCD显示屏。
将显示屏的VCC引脚连接到5V引脚,GND引脚连接到GND引脚,将SDA引脚连接到A4引脚,SCL引脚连接到A5引脚。
3. 在Arduino开发环境中编写代码。
首先,需要包含所需的库文件,如LiquidCrystal_I2C库和Wire库。
然后,定义温度传感器引脚和LCD显示屏的相关参数。
接着,在setup函数中初始化LCD显示屏,并设置显示屏的列数和行数。
在loop函数中,通过调用温度传感器库函数获取环境温度,并将其显示在LCD屏幕上。
4. 将Arduino开发板与电脑连接,并上传代码到开发板上。
5. 实验完成后,观察LCD屏幕上的温度显示,确保温度监测系统正常工作。
实验结果:经过实验,我们成功设计并实现了一个基于Arduino的温度监测系统。
该系统能够准确地测量环境温度,并将数据实时显示在LCD屏幕上。
通过该系统,我们可以方便地监测环境温度的变化。
实验总结:通过本次实验,我们对电子系统设计有了更深入的了解。
我们学会了如何使用Arduino开发板和相关传感器进行电子系统的设计。
同时,我们也掌握了如何编写代码并将其上传到开发板上。
这些技能对于今后从事电子系统设计工作将非常有帮助。
电子系统综合实训报告
一、实训背景随着科技的飞速发展,电子技术在各个领域的应用日益广泛。
为了提高学生的实践能力和工程素养,我校物理与电子工程学院特举办电子系统综合实训活动。
本次实训旨在通过模拟真实企业级项目,让学生在掌握理论知识的基础上,锻炼实际操作技能,提升职业素质。
二、实训目的1. 使学生掌握电子系统设计的基本流程和方法。
2. 培养学生运用所学知识解决实际问题的能力。
3. 提高学生的团队协作和沟通能力。
4. 增强学生对电子行业发展趋势的认识。
三、实训内容本次实训主要包括以下内容:1. 项目介绍:邀请企业工程师介绍两个项目:基于虚拟仿真软件的数字农业数字孪生平台和基于STM32的四足机器人项目。
2. 理论学习:学习单片机原理、软件编程方法、电子行业基本工具等知识。
3. 项目实施:以项目小组形式,模拟企业一线研发项目,进行任务驱动、项目化教学。
4. 作品展示与答辩:企业工程师和校内指导教师组成专家组,对学生的作品进行现场答辩和点评。
四、实训过程1. 项目分组:将144名学生分为若干个项目小组,每个小组由5-6人组成。
2. 项目讨论:各小组根据项目要求,进行项目讨论,明确项目目标、任务分工、时间安排等。
3. 理论学习与实践操作:在导师的指导下,学习相关理论知识,并利用仿真软件和实际硬件进行实践操作。
4. 项目实施:各小组按照项目要求,完成项目设计和制作。
5. 作品展示与答辩:各小组向专家组展示作品,并进行现场答辩。
五、实训成果1. 项目成果:各小组成功完成了基于虚拟仿真软件的数字农业数字孪生平台和基于STM32的四足机器人项目。
2. 技能提升:学生在实训过程中,掌握了单片机原理、软件编程方法、电子行业基本工具等知识,提高了实际操作技能。
3. 团队协作与沟通:学生在实训过程中,学会了与他人合作,提高了团队协作和沟通能力。
六、实训总结1. 实训效果显著:本次实训活动取得了圆满成功,达到了预期目标。
2. 学生受益匪浅:学生在实训过程中,不仅掌握了理论知识,还提高了实际操作技能,为今后的学习和工作打下了坚实基础。
电子综合课题研究报告
电子综合课题研究报告一、引言随着信息技术的飞速发展,电子技术已成为现代社会的重要支柱。
电子产品的广泛应用极大地改变了人们的生活方式,提升了社会生产效率。
然而,电子领域的研究仍存在诸多问题和挑战,特别是在电子综合课题方面。
本研究旨在深入探讨电子综合课题的关键技术,以期为电子行业的发展提供理论支持和实践指导。
本研究背景源于当前电子技术在实际应用中面临的诸多问题,如能耗、性能瓶颈、系统集成等。
这些问题在一定程度上限制了电子技术的进一步发展,因此,开展电子综合课题研究具有重要的现实意义。
本研究提出以下问题:如何优化电子系统集成,提高电子设备的性能与能效?如何解决电子系统在复杂环境下的稳定性与可靠性问题?为回答这些问题,本研究设定以下目的:分析电子综合课题的关键技术,探讨现有技术的优缺点,提出改进措施,并通过实验验证所提方法的有效性。
本研究假设在充分了解电子综合课题的基础上,通过优化设计、改进算法及系统集成,可以显著提升电子设备的性能与能效。
研究范围与限制方面,本报告主要关注电子综合课题中的以下几个方面:1)电子系统集成;2)电子设备性能优化;3)能效提升;4)稳定性与可靠性。
考虑到研究深度和篇幅,本报告未涉及电子领域的其他细分课题。
本报告将系统、详细地呈现研究过程、发现、分析及结论,为电子行业的发展提供有益的参考。
以下是本报告的简要概述:首先,介绍电子综合课题的背景与意义;其次,分析现有技术的优缺点;接着,提出研究方法与实验方案;最后,总结研究成果,并提出未来研究方向。
二、文献综述电子综合课题研究吸引了众多学者的关注,已有大量研究成果发表。
在理论框架方面,研究者们从电子系统集成、性能优化、能效提升等多个角度构建了丰富的理论体系。
国内外学者的研究表明,通过优化电子系统集成,可以显著提高电子设备的性能与能效。
其中,一些研究关注硬件层面的集成,如集成电路设计、封装技术等;另一些研究则侧重于软件层面的集成,如嵌入式系统、操作系统等。
电子系统设计实习报告
一、实习背景随着科技的不断发展,电子系统设计在各个领域中的应用越来越广泛。
为了提高自己的实践能力和综合素质,我选择了电子系统设计实习。
本次实习旨在通过实际操作,掌握电子系统设计的基本方法,提高自己的动手能力和设计水平。
二、实习目的1. 掌握电子系统设计的基本原理和流程。
2. 学会使用常用电子设计工具,如EDA软件、PCB设计软件等。
3. 提高动手能力,学会焊接、调试等基本技能。
4. 培养团队合作精神,提高沟通协调能力。
三、实习内容1. 电子系统设计基础知识实习期间,我学习了电子系统设计的基本原理,包括模拟电路、数字电路、微控制器等。
通过学习,我对电子系统设计有了初步的认识,了解了各个模块的功能和作用。
2. EDA软件使用为了提高设计效率,我学习了Altium Designer软件,通过实际操作,掌握了电路原理图绘制、PCB设计、仿真等基本技能。
在绘制电路原理图时,我学会了如何使用元件库、布线规则等,使电路图更加规范。
3. PCB设计在PCB设计方面,我学习了Altium Designer软件的PCB设计功能,掌握了元件布局、布线、测试点设置等技巧。
通过实际操作,我完成了一个简单的PCB设计,并进行了焊接和调试。
4. 焊接与调试在焊接方面,我学习了手工焊接的基本技能,包括烙铁的使用、焊接方法、焊接注意事项等。
在调试方面,我学会了使用示波器、万用表等工具,对电路进行测试和故障排查。
5. 项目实践在实习期间,我参与了一个电子系统设计项目,负责电路设计、PCB设计和调试。
通过团队合作,我们成功完成了项目,并进行了演示。
四、实习心得体会1. 实践是检验真理的唯一标准。
通过实习,我深刻体会到理论知识的重要性,同时也认识到实际操作技能的必要性。
2. 团队合作是完成项目的关键。
在实习过程中,我学会了与团队成员沟通、协作,共同解决问题,提高了自己的沟通协调能力。
3. 持续学习是提高自己的重要途径。
电子系统设计领域不断更新,我们需要不断学习新技术、新方法,以适应行业发展的需求。
电子系统综合设计实验报告
电子系统综合设计实验报告所选课题:±15V直流双路可调电源学院:信息科学与工程学院专业班级:学号:学生姓名:指导教师:2016年06月摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V 的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。
本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。
最后实物模型的输出电压在±13左右波动。
1、任务需求⑴有+15V和-15V两路输出,误差不超过上下1.5V。
(但在本次设计中,没有所需变压器,所以只能到±12.5V)⑵在保证正常稳压的前提下,尽量减小功效。
⑶做出实物并且可调满足需求2、提出方案直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。
⑴单相桥式整流作用之后的输出波形图如下:⑵电容滤波作用之后的输出波形图如下:⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。
在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。
LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)3、详细电路图:因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常 并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。
参数计算: 滤波电容计算:变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V的点解电容。
电子综合设计多功能数字钟报告(附完整程序代码)
[电子系统设计]总结报告题目:多功能数字钟专业:电子信息科学与技术班级:2010级2班姓名:XXX指导教师:评分:2012年11月19日目录1项目计划 (1)1.1方案可行性 (1)1.1.1市场可行性分析 (1)1.1.2技术分析 (1)1.1.3法律分析 (1)1.2项目执行计划 (2)1.2.1工程进度图 (2)1.2.2工程进度表 (2)1.2.3工程预算 (2)2设计说明 (3)2.1基本设计思想 (3)2.2设计原理 (4)2.3系统结构框图 (4)2.4原理图 (5)2.5各单元模块设计原理 (5)2.5.1单片机最小系统 (5)2.5.2数码显示模块 (6)2.5.3闹铃模块 (7)2.5.4接口和外部控制模块 (8)2.5.5时钟模块 (8)2.6各单元模块设计流程及部分程序代码 (9)2.6.1各单元模块设计流程图 (9)2.6.2部分程序代码 (11)2.7时钟的操作流程 (11)3调试说明 (12)3.1生产工艺 (12)3.2调试准备工作 (12)3.3调试方法及步骤 (12)3.4调试数据 (13)3.4.1未安装芯片时的点参数 (13)3.4.2安装芯片后的点参数 (13)3.5调试结果 (14)3.6注意事项 (14)3.7可能遇到的问题及解决方案 (14)4总结 (15)5附录 (15)1项目计划1.1方案可行性1.1.1市场可行性分析多功能数字钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用,随着多功能数字钟在公共场合的应用和多功能数字钟数量的增多,多功能数字钟的功能和准确度更被人们所重视。
本多功能数字钟属于多功能数字钟,具有功能多,成本低的特点,制作一个多功能数字钟所需材料成本约为17元,人工成本约6元,而一个多功能数字钟市场价约为60,每个多功能数字钟可净收入约37元,现在市场上数字钟的需求量大,生产商寥寥无几。
电子技术系统课程设计报告
模拟电子技术 课程设计报告设计题目: 直流稳压电源的设计与仿真1 课程设计的目的和任务1.1设计目的①学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计步骤,培养综合设计与调试能力。
②通过集成直流稳压电源的设计,安装和调试,学会选择变压器、整流二极管、滤波电容、集成稳压器及相关元器件设计直流稳压电源;③掌握直流稳压电路的调试及技术指标的测试方法。
1.2设计任务设计集成直流稳压电源,满足:① 当输入电压在220V 交流电时,输出直流电压为5V ; ② 输出纹波电压小于5mv,稳压系数小于等于0.01; ③ 具有短路保护功能; ④ 最大输出电流为Imax=1.0A 。
2 课程设计的基本要求和技术指标2.1设计要求① 设计一个能输出正负5V 直流稳压电源; ② 拟定设计方案和设计步骤;③ 根据设计要求与技术指标设计好电路,选好元件及参数;④ 绘制原理图;得到仿真结果,运用saber 软件模拟测试有关技术指标。
班级:应用物理081学号:08411200125姓名:张丽佳指导教师:陈玮成绩:⑤撰写设计性报告。
2.2技术指标①电源输出电压为正负5V;②输入电压为220V/50HZ ;③最大输出电流为Imax=1.0A;④纹波电压小于等于5mv;⑤稳压系数小于等于0.01。
3基本原理3.1集成直流稳压电源概述在电子电路及电子设备中,通常都需要电压稳定的直流电源供电,作为电子电路中必不可少的组成部分,它的作用之一是为各级电路中的三极管提供合适的偏置,其次是作为整个电子电路能量来源。
常见的供电方式有两种,一种是采用干电池、蓄电池或其他形式如光电池等向电路供电,这种供电方式是用化学能或其他形式的能量转化为电能之后,向电路提供能量,其缺陷在于能量的使用要受实际条件的限制;另一种是利用电网向电路提供能量,其优势在于电网所提供的能量是源源不断的。
直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。
电子电路综合设计实验报告(数控直流稳压电源设计)
电⼦电路综合设计实验报告(数控直流稳压电源设计)北京邮电⼤学电⼦电路综合设计实验实验报告实验名称:简易数控直流稳压电源的设计学院:电⼦⼯程学院班级:XXX班学号:XXXXXXXX姓名:XXX班内序号:XX2012年3⽉25⽇课题名称:简易数控直流稳压电源的设计摘要:本设计实验要求我们设计出简易数控直流稳压电源,通过⼿动调节实现输出不同电压的功能,通过电压与电流的放⼤实现较强的带负载能⼒,通过滤波电容消除纹波对直流的影响,并运⽤protel 软件进⾏仿真。
该设计实验旨在培养我们的实验兴趣与学习兴趣,提⾼实验技能与探究技能,引导我将所学所想运⽤到实际中去。
关键字:稳压电源,设计,仿真⼀、设计任务要求1.基本要求(1)设计实现⼀个简易数控直流稳压电源,设计指标及给定条件为:1) 输出电压调节范围:5V ~ 9V,步进0.5V 递增,纹波⼩于50mV;2) 输出电流⼤于100mA;3) 由预制输⼊控制输出电压递增;4) 电源为12V。
(2)设计+5V电源电路(不要求实际搭建),⽤PROTEL软件绘制完整的电路原理图(SCH)。
2.提⾼要求(1) 数字控制部分采⽤+/-按键来调整控制⼀可逆⼆进制计数器来预设电压值;(2) ⽤PROTEL软件绘制电路的印刷电路板图(PCB)。
3.探究要求输出电压调节范围更宽,步进更⼩:范围:0 ~ 10 V, 步进:0.1V。
本次探究实验主要着重完成了基本要求部分的设计与探究。
⼆、设计思路、总体结构框图本实验要求设计⼀个可以充当数控直流稳压电源的电路,电路由数字控制部分、D/A 转换部分、可调稳压部分组成。
数字控制部分采⽤+/-按键来调整控制⼀可逆⼆进制计数器来预设电压值(此部分为提⾼部分),⼆进制计数器输出输⼊到D/A 转换器中,经过D/A 转换后实现输出电压的可调。
其框图如图1所⽰。
图1 系统总体结构框图三、分块电路和总体电路的设计1.第⼀部分——数字电路控制部分此部分是电路的数字控制部分,也是电路输⼊端,其电路原理图如图2所⽰。
电工电子综合课程设计报告
电工电子综合课程设计报告一、课程设计背景电工电子综合课程是电气工程类专业的重要课程之一,本着理论与实践相结合的原则,课程设计是一项必不可少的重要任务。
在课程设计的过程中,要注重理论知识的学习和实践能力的培养,加强学生对电力电子技术的认知,提高学生的创新能力和实践操作技能。
在实践中要充分考虑课程目标的实现,提高课程设计的现实意义和实用性,为学生的未来职业发展提供有力的帮助。
二、课程设计目标本次课程设计旨在提高学生的实践能力,培养学生的电子电路的设计能力和电力系统的操作技能。
本次课程设计的目标如下:1.使学生掌握电力电子技术的基本原理与电路设计方法;2.锻炼学生的实验能力和操作技能,让学生能够熟练进行电力系统设计和电子电路测试;3.提高学生的团队合作能力和创新意识,让学生能够团队合作设计出具有实用价值的电子电路;4.培养学生的实践操作体验,让学生在实验操作中不断探索、研究,提高学生的动手能力和表达能力。
三、课程设计具体内容1.电子元器件基础知识本次课程设计将深入讲解电子元器件的基础知识,如二极管、三极管、场效应管等,让学生从基础知识入手,深入了解电子元器件的特性和应用,为后续的课程设计做好铺垫。
2.电路设计与实现在学习了基础知识之后,接下来就是进行电路设计和实现。
本次课程设计将分为两个阶段进行,首先是单元电路的设计和实现,包括各种放大电路、滤波电路、比较电路等;然后是单元电路的组合,设计出整个系统的电路。
学生们需要团队合作,进行设计和实验,利用已学习的电路知识,自行完成电子电路的设计,体验电子设计的乐趣和成就感。
3.电力系统维护和调试在电子电路设计阶段结束后,接下来是电力系统的维护和调试。
学生将学习电力系统的基本原理,如电力系统的拓扑结构、逆变器原理、控制电路原理等,然后进行电力系统的调试和维护,实际操作学习电力系统的运行和维护,如何发现电力系统运行异常,如何进行维护调试等,为日后的电力工程实践奠定基础。
电子系统设计报告
电子系统设计报告一、目的加强对51单片机的了解,深入地学习和系统的架构基于51单片机的简单系统。
了解其构造和功能以及外围电路。
从而更好地掌握接口技术的原理,并认识相关电子元件和电子芯片。
二、内容要求根据“小猪〞自己确定的题目,制作基于51单片机的相应作品。
题目:闹钟作品功能:显示时间、调节时间、显示闹钟时间、调节闹钟时间、到点闹钟、留声等。
三、主要元件ISD1760、扬声器、数码管、按键、STC52四、成员分工小猪做的是单片机最小系统和数码管显示及按键模块的电路,留声模块由“鸟才〞制作。
软件也是小猪编写〔为表达课程特点我使用的是汇编语言,伟福软件〕。
五、系统说明单片机P0口接4 4键盘,实现控制功能,P1口输出数码管段选码,P2 口低三位输出位选码由138译码器译码;P3.0控制扬声器播放录音;录音操作由语音芯片完成。
六、电路框图七、程序框图八、软件清单〔汇编写得相对长了点比拟无奈〕hen0 bit p0.0 ;预定义键盘横向位hen1 bit p0.1hen2 bit p0.2hen3 bit p0.3shu0 bit p0.4 ;预定义键盘竖向位shu1 bit p0.5shu2 bit p0.6shu3 bit p0.7shi1 equ 39hshi2 equ 38hshifen equ 3ah ;预定义时间缓存fen1 equ 3chfen2 equ 3bhfenmiao equ 3dhmiao1 equ 3fhmiao2 equ 3ehnshi1 equ 32h ;预定义闹钟时间缓存nshi2 equ 31hnshifen equ 33hnfen1 equ 35hnfen2 equ 34hnfenmiao equ 36hnmiao1 equ 38hnmiao2 equ 37horg 00hljmp mainorg 0bhljmp time0org 40hmain:movnmiao1,#0 ;缓存初始化mov nmiao2,#0mov nshifen,#10mov nfenmiao,#10mov nshi1,#0mov nshi2,#0mov nfen1,#0mov nfen2,#0mov miao1,#0mov miao2,#5mov shifen,#10mov fenmiao,#10 mov shi1,#3mov shi2,#2mov fen1,#9mov fen2,#5mov r2,#250mov p3,#0ffhMOVTMOD,#21h ;定时器模式MOVTH0,#240 ;定时中断时间MOV TL0,#96SETB TR0SETB ET0SETB EAsjmp $ jianpan:push amov a,#0if_press:;第一次扫描横向mova,#00001111Bmov p0,aclr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,if_press2pop aretif_press2:;第二次扫描横向acalldelay1ms ;去抖clr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,getnumberpop aretgetnumber:;读键值swap amov r7,amova,#11110000bmov p0,amov a,#0clr cmov c,shu0rlc amov c,shu1rlc amov c,shu2rlc amov c,shu3rlc aadd a,r7cpl acjnea,#00010001b,read1 ;定义键功能mov a,#1incfen1 ;时间分低位加一sjmpnumber_outread1:cjnea,#00010010b,read2mov a,#2decfen1 ;时间分低位减一sjmpnumber_outread2:cjnea,#00010100b,read3mov a,#3incfen2 ;时间分高位加一sjmpnumber_outread3:cjnea,#00011000b,read4mov a,#4decfen2 ;时间分高位减一sjmpnumber_outread4:cjnea,#00100001b,read5mov a,#5inc shi1sjmpnumber_outread5:cjnea,#00100010b,read6mov a,#6dec shi1sjmpnumber_outread6:cjnea,#00100100b,read7mov a,#7inc shi2sjmpnumber_outread7:cjne a,#00101000b,read8mov a,#8dec shi2sjmp number_outread8:cjne a,#01000001b,read9mov a,#9sjmp number_outread9:cjne a,#01000010b,read10mov a,#0ahsjmp number_outread10:cjne a,#01000100b,read11mov a,#0bhsjmp number_outread11:cjne a,#01001000b,read12mov a,#0chsjmp number_outread12:cjne a,#10000001b,read13mov a,#0dhsjmp number_outread13:cjne a,#10000010b,read14mov a,#0ehsjmp number_outread14:cjne a,#10000100b,read15mov a,#0fhsjmp number_outread15:cjne a,#10001000b,number_outmov a,#0FFhclr EAljmp nshezhi进入闹钟设置number_out:检查是否溢出,调整缓存数值mov a,shi2cjne a,#2,ookmov a,shi1cjne a,#2,ok_2ljmp ookok_2: cjne a,#1,ok_1ljmp ookok_1: cjne a,#0,ok_0ljmp ookok_0:mov shi1,#3ook: mov a,fen1cjne a,#10,fen1_okmov fen1,#0sjmp backfen1_ok:cjnea,#255,fen1_ok1mov fen1,#9sjmp backfen1_ok1:mov a,fen2cjne a,#6,fen2_okmov fen2,#0sjmp backfen2_ok:cjnea,#255,fen2_ok1mov fen2,#5sjmp backfen2_ok1:mov a,shi1cjne a,#10,shi1_okmov shi1,#0sjmp backshi1_ok:cjne a,#255,shi1_ok1mov shi1,#9sjmp backshi1_ok1:mov a,shi2cjne a,#3,shi2_okmov shi2,#0sjmp backshi2_ok:cjne a,#255,shi2_ok1mov shi2,#2mov a,shi1cjne a,#3,ok3sjmp backok3: cjne a,#2,ok2sjmp backok2: cjne a,#1,ok1sjmp backok1: cjne a,#0,ok0sjmp backok0:mov shi1,#3sjmp backshi2_ok1:cjne a,#2,backmov a,shi1cjne a,#4,backmov shi1,#0mov shi2,#0sjmp backback:pop aretdelay1ms: mov r5,#10delay1ms2: mov r6,#95delay1ms1: djnz r6,delay1ms1djnzr5,delay1ms2retdelay30ms:mov r5,#150delay30ms2:mov r6,#200delay30ms1:djnz r6,delay1ms1djnzr5,delay1ms2retnaozhong: 闹钟时间比拟mov a,miao1cjnea,#0,naozhong_backmov a,miao2cjnea,#0,naozhong_backmov a,fen1cjnea,nfen1,naozhong_backmov a,fen2cjnea,nfen2,naozhong_backmov a,shi1cjnea,nshi1,naozhong_backmov a,shi2cjnea,nshi2,naozhong_backmov p3,#0mov r6,#30 naoback:retnaozhong_back:djnz r6,naobackmov p3,#0ffhrethui_main:lcall naozhongpop aretitime0: 中断push amov th0,#240mov tl0,#96lcall display 显示扫描djnz r2,hui_mainmov r2,#250lcall jianpan 键盘查询inc miao1mov a,miao1cjnea,#10,hui_mainmov miao1,#0 ;秒两位之间进位inc miao2mov a,miao2cjne a,#6,hui_mainmov miao2,#0 ;秒到分的进位inc fen1mov a,fen1cjnea,#10,hui_mainmov fen1,#0 ;分的进位inc fen2mov a,fen2cjne a,#6,hui_mainmov fen2,#0 ;分到时的进位inc shi1mov a,shi1cjnea,#4,budengyusisjmp shifoyichubudengyusi:cjnea,#10,hui_mainmov shi1,#0 ;时的进位inc shi2shifoyichu:mov a,shi2cjne a,#2,hui_mainmova,shi1 ;??时间的溢出cjne a,#4,hui_mainmovshi1,#0 ;24小时后时间归零mov shi2,#0ljmp hui_maindisplay: 时间显示mov r5,#8mov r0,#3fhdisplay1:mov a,r0movdptr,#shumayizhimovc a,a+dptrdec r5dec r0mov p2,r5 ;控制数码管位选mov p1,a ;p1口接数码管lcall delaycjne r5,#0,display1retdelay: mov r4,#0ffhdlll: djnz r4,dlllretnshezhi: 闹钟设置push anjianpan:nif_press:;第一次扫描横向mova,#00001111Bmov p0,aclr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,nif_press2lcall ndisplaymov 00h,#100 ;标记sjmp nif_press nif_press2:;第二次扫描横向lcalldelay30ms ;去抖clr cmov a,#0mov c,hen0rlc amov c,hen1rlc amov c,hen2rlc amov c,hen3rlc acjnea,#0fh,ngetnumbersjmp nif_press ngetnumber:;读键值swap amov r7,amova,#11110000bmov p0,amov a,#0clr cmov c,shu0rlc amov c,shu1rlc amov c,shu2rlc amov c,shu3rlc aadd a,r7cpl alcall delay30mscjne a,00h,rightljmp nif_pressright:mov r0,acjnea,#00010001b,nread1mov a,#1inc nfen1ljmpnnumber_outnread1:cjnea,#00010010b,nread2mov a,#2dec nfen1ljmpnnumber_outnread2:cjnea,#00010100b,nread3mov a,#3inc nfen2sjmpnnumber_outnread3:cjnea,#00011000b,nread4mov a,#4dec nfen2sjmpnnumber_outnread4:cjnea,#00100001b,nread5mov a,#5inc nshi1sjmpnnumber_outnread5:cjnea,#00100010b,nread6mov a,#6dec nshi1sjmpnnumber_outnread6:cjnea,#00100100b,nread7mov a,#7inc nshi2sjmpnnumber_outnread7:cjnea,#00101000b,nread8mov a,#8dec nshi2sjmpnnumber_outnread8:cjnea,#01000001b,nread9mov a,#9sjmpnnumber_outnread9:cjnea,#01000010b,nread10mov a,#0ahsjmpnnumber_outnread10:cjnea,#01000100b,nread11mov a,#0bhsjmpnnumber_outnread11:cjnea,#01001000b,nread12mov a,#0chsjmpnnumber_outnread12:cjnea,#10000001b,nread13mov a,#0dhsjmpnnumber_outnread13:cjnea,#10000010b,nread14mov a,#0ehsjmpnnumber_outnread14:cjnea,#10000100b,nread15mov a,#0fhpop aMOVTMOD,#21hMOVTH0,#240 ;T0=4MSMOV TL0,#96SETB TR0SETB ET0SETB EAljmp number_outnread15:cjne a,#10001000b,nnumber_outmov a,#0FFh nnumber_out:mov a,nshi2cjne a,#2,nookmov a,nshi1cjne a,#2,nok_2ljmp nooknok_2: cjne a,#1,nok_1ljmp nooknok_1: cjne a,#0,nok_0ljmp nooknok_0:mov nshi1,#3nook: mov a,nfen1cjne a,#10,nfen1_okmov nfen1,#0sjmp nbacknfen1_ok:cjnea,#255,nfen1_ok1mov nfen1,#9sjmp nbacknfen1_ok1:mov a,nfen2cjne a,#6,nfen2_okmov nfen2,#0sjmp nbacknfen2_ok:cjnea,#255,nfen2_ok1mov nfen2,#5sjmp nbacknfen2_ok1:mov a,nshi1cjne a,#10,nshi1_okmov nshi1,#0sjmp nbacknshi1_ok:cjnea,#255,nshi1_ok1mov nshi1,#9sjmp nbacknshi1_ok1:mov a,nshi2cjne a,#3,nshi2_okmov nshi2,#0sjmp nbacknshi2_ok:cjnea,#255,nshi2_ok1mov nshi2,#2mov a,nshi1cjne a,#3,nok3sjmp nbacknok3: cjne a,#2,nok2sjmp nbacknok2: cjne a,#1,nok1sjmp nbacknok1: cjne a,#0,nok0sjmp nbacknok0:mov nshi1,#3sjmp nbacknshi2_ok1:cjne a,#2,nbackmov a,nshi1cjne a,#4,nbackmov nshi1,#0mov nshi2,#0lcall ndisplaynback:ljmp njianpanndisplay:mov r3,#8mov r0,#38h ;与闹钟时高位对应ndisplay1:mov a,r0movdptr,#shumayizhimovc a,a+dptrdec r3dec r0mov p2,r3 ;控制数码管位选mov p1,a ;p1口接数码管lcall delay1mscjne r3,#0,ndisplay1ret;闹钟完毕shumayizhi:DB3FH,06H,5BH,4FH,66H,6DH,7DH;共阴字码表DB07H,7FH,6FH,40hend九、主要难点小猪觉得硬件上不存在问题。
北邮电子电路综合设计实验报告——晶体管放大倍数检测电路的设计与实验
晶体管放大倍数β检测电路的设计与实现实验报告【摘要】晶体管是工程上常见的一种元器件,放大倍数为其基本参数。
为了检测出不同晶体管的放大倍数的粗略值,本实验利用集成运放和发光二极管,将晶体管的放大倍数分成若干个档位进行测量。
利用本实验的电路,可以成功实现对晶体管类型的判断,对晶体管放大倍数的档位测量,并在β>250时实现报警。
放大倍数的检测对于晶体管的工程应用具有重要意义,对于任意一个晶体管,在工程应用前,都应检测出它的类型及放大倍数。
【关键词】电子电路设计测量晶体管放大倍数β【实验目的】1、加深对晶体管β值意义的理解;2、了解并掌握电压比较器电路和发光二极管的使用;3、提高独立设计电路和验证实验的能力。
【设计任务和要求】【基本要求】1、设计一个简易晶体管放大倍数β检测电路,该电路能够实现对三极管β值大小的初步判断。
系统电源DC±12V2、电路能够检测出NPN、PNP三极管的类型;3、电路能够将NPN型三极管放大倍数β分为大于250、200~250、150~200和小于150四个档位进行判断;4、用发光二极管来指示被测三极管的放大倍数β值属于哪一个档位,当β超出250时二极管能够闪烁报警;5、在电路中可以手动调节四个档位值的具体大小;【提高要求】1、电路能够将PNP型三极管放大倍数β分为大于250、200~250、150~200和小于150四个档位进行判断,并且能手动调节四个档位值的具体大小。
2、NPN、PNP三极管β档位的判断可以通过手动切换。
【设计思路】简易双极型三极管放大倍数β检测电路的设计总体框图如下所示:电路由五部份组成:三极管类型判别电路、三极管放大倍数β档位判断电路、显示电路、报警电路和电源电路。
三极管类型判别电路的功能是利用NPN型和PNP型三极管的射极、基极、集电极电流流向均相反的特性而实现的。
对于一个NPN型的三极管,若要工作在放大区,则其基极与射极之间电压应为正向电压,且集电极的电位要比基极电位高。
全国大学生电子设计大赛报告
题目名称:开关电源模块并联供电系统(A题)摘要开关电源模块并联供电系统是采用8位Atmega88的开关电源,主电路采用LM2576和LM2596作为两块并联的开关电源。
LM2576作为恒压源,LM2596作为恒流源。
该两块开关电源保证系统的效率,电流电压调整率和输出精度要求。
系统具有限流保护功能,HD7279键盘输入输出等多种功能。
该系统主要采用硬件反馈调节,调整能力强,使单片机负载小。
本系统功能完善,在支路在0.5-2A输出范围内,干路电流输出范围使1-4A其分压比由外界输入。
由AD采用,读出干路电流,经数字电位器调整恒流源工作状态,使其自调整实现固定分压比,并且电流精度满足在百分之五以内。
关机或过流保护收后,具有可以记忆参数、自恢复功能。
AbstractSwitching power supply modules in parallel power supply system is the use of 8-bit Atmega88 switching power supply, the main circuit LM2576 and LM2596 as two parallel switching power supply. LM2576 as the voltage source, LM2596 as a constant current source. The two switching power supply to ensure efficiency of the system, current and output voltage regulation accuracy requirements. System has a current limit protection,HD7279 keyboard input and output functions. The system uses hardware feedback regulation, adjust the ability to make a small single-chip load.The system is functional, the branch in the output range of 0.5-2A, distributors current output range 1-4A the partial pressure than by the external input. Used by the AD, to read out the current trunk, the digital potentiometer to adjust the current source working condition, to self-adjust to achieve a fixed partial pressure ratio, and accuracy to meet the current five percent or less. After closing down or over-current protection, with memory parameters can be, since the recovery.1 方案论证与比较 (3)1.1系统方案论证 ..................................................................... 错误!未定义书签。
电子技术课程设计实践综合报告
温州大学WENZHOU UNIVERSITY机械电子专业实习报告(电子技术实践)项目名称电子技术课程设计姓名吴亮辉学号班级机自04201指导师日期年月日温州大学教务处制二OO八年二月LM386集成功放电路设计、安装及测试[实践任务和要求]1.了解LM386集成功放电路工作原理及特点。
2.了解集成功放电路设计的方法。
3.进一步了解掌握集成功放电路性能的测试方法。
4.熟练掌握电子电路安装技巧。
[需要仪器设备]1.安装工具一套。
2.双踪示波器一台。
3.函数信号发生器一台。
4.双路直流稳压电源一台。
5.数字万用表一块。
6.LM386集成功放、电阻及电容若干,假负载一个。
[芯片介绍及工作原理]LM386集成功放内部电路方框图LM386引脚图性能介绍:LM386是专为低损耗电源设计的功率放大器。
它的内建增益是20,通过在1脚和8脚间加电阻、电容的搭配,最高增益可达200。
LM386可使用电池为供应电源,输入电压范围可为5V~18V,当Vcc=6V时,静态工作电流为4mA,当Vcc=15V,R L=32Ω时输出功率为1W,1脚和8脚开路时带宽为300kHZ,总谐波失真为0.2%,输入阻抗为50KΩ。
1、8为增益端,2为反向输入端,3为同向输入端,4为地端,5为输出端,6为电源端,7为旁路。
实验步骤:搭建硬件,如下图:注意:电源及公共端接入的方法,地端应该接在靠近大电流端。
焊接、元件排列及安装的技巧。
性能测试及数据处理:电源输入V cc=9.0V 电流为0.1A P=0.9W负载为R L=8.3Ω输入信号Vi=0.3V 1KMZ 15.8mA=0.336W输出电压V=1.67V P=U2R当外接电阻为9.7Ω时,电阻两端电压为2.0V。
×R=33.95Ω输出阻抗R x=U1U2效率η=37.3%通频带为93HZ~41KHZ0℃−150℃温控仪的设计、安装及测试[实践的任务和要求]1.了解模拟型温控仪电路工作原理及特点。
北邮电子电路综合设计实验报告
北京邮电大学电子电路综合设计实验报告课题名称:函数信号发生器的设计学院:信息与通信工程学院 班级:2013211123姓名:周亮学号:2013211123班内序号:9一、 摘要方波与三角波发生器由集成运放电路构成,包括比较器与RC积分器组成。
方波发生器的基本电路由带正反馈的比较器及RC组成的负反馈构成;三角波主要由积分电路产生。
三角波转换为正弦波,则是通过差分电路实现。
该电路振荡频率和幅度便于调节,输出方波幅度大小由稳压管的稳压值决定,方波经积分得到三角波;而正弦波发生电路中两个电位器实现正弦波幅度与电路的对称性调节,实现较理想的正弦波输出波形。
二、关键词: 函数信号发生器 方波 三角波 正弦波三、设计任务要求1.基本要求:设计制作一个函数信号发生器电路,该电路能够输出频率可调的正弦波、三角波和方波信号。
(1) 输出频率能在1-‐10KHz范围内连续可调,无明显失真。
(2) 方波输出电压Uopp=12V(误差小于20%),上升、下降沿小于10us。
(3) 三角波Uopp=8V(误差小于20%)。
(4) 正弦波Uopp1V,无明显失真。
2. 提高要求:(1) 输出方波占空比可调范围30%-‐70%。
(2) 三种输出波形的峰峰值Uopp均可在1V-‐10V内连续可调电源电路 方波-‐三角波发生电路 正弦波发生电路方波输三角波输正弦波输现输出信号幅度的连续调节。
利用二极管的单向导通性,将方波-‐三角波中间的电阻改为两个反向二极管一端相连,另一端接入电位器,抽头处输出的结构,实现占空比连续可调,达到信号发生器实验的提高要求。
五、分块电路和总体电路的设计过程1. 方波-‐三角波产生电路设计过程:①根据所需振荡频率的高低和对方波前后沿陡度的要求,选择电压转换速率S R合适的运算放大器。
方波要求上升、下降沿小于10us,峰峰值为12V。
LM741转换速率为0.7V/us,上升下降沿为17us,大于要求值。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子系统综合设计报告姓名:陈丹学号:100401202专业:电子信息工程日期:2013-4-2南京理工大学紫金学院电光系1 引言编程、手动/自动切换、软启动、报警开关量输出、实时数据查询、与计算控制继电器通断比率,促使测量值恢复到给定值,达到自动控制的效果;控制器还具有上、下限温度告警和继电器输出功能,性价比高,可广泛用于电力、化工、注塑、包装、食品等企业。
此次设计温控仪主要想用温度传感器采集当前温度,在数码管上显示。
通过这次课程设计锻炼我们的单片机应用能力以及对电子设备的实际操作能力,也可以说是为最后的毕业设计做铺垫。
希望通过这次设计,能让自己对电子设计有更清晰的概念,而不是纸上谈兵。
能够让所学与实际相结合。
2 系统设计2.1总体方案设计2.1.1总体设计流程2.1.2温控仪原理图2.1.3总体电路图2.1.4温控仪设计文字说明温度传感器输出为电阻值,经信号调理电路得到电压值,再经AD转换电路实现数模转换。
由单片机控制显示管输出。
除此,可设置预置温度,通过单片机外部中断,用按键控制预置温度。
当实际温度高于预置温度,红灯亮,蜂鸣器响;低于时,则绿灯亮。
2.2总体设计要求(1)温度范围为:-20 ℃~ +100℃,最小区分度为1℃,标定温度≤ 1℃;(2)温度采样时间:500ms~1min;(3)具有超温声、光报警功能;(4)实时温度显示(四位数码管);(5)实时温度控制(风扇及加热负载)功能;(6)温度参数输入功能(温度+、温度-键)。
3 单元模块设计3.1调理电路3.1.1电路功能利用桥式整流电路实现电阻值到电压值的转换,并用OP07放大电压。
电阻与温度关系如下:温度与电压的关系:温度分段与电压的拟合曲线:(19℃到40℃)温度分段与电压的拟合曲线:(40℃到55℃)温度分段与电压的拟合曲线:(55℃到65℃)温度分段与电压的拟合曲线:(65℃到71℃)3.1.2调理电路3.2 A/D转换电路3.2.1 电路功能单片机只能处理二进制信号,因此必须用A/D转换电路将纹理电路输出的模拟量转换成数字量,供单片机处理。
AD 0808有8个通道,如下是通道选择以及管脚图: 地址所存信号ALE 为上升沿有效,与80C51 的ALE 相反。
ENABLE(OE)为输出允许,高有效。
CLOCK 低于640KHz 。
EOC 为转换结束,高有效。
启动AD 变换是要给出通道地3.3P 89L 51RD 23.3.1功能作为设计核心,协调各功能模块,是软件载体。
管脚图:A B C 0 0 0 IN0 0 0 1 IN1 0 1 0 IN2 0 1 1 IN3 1 0 0 IN4 1 0 1 IN5 1 1 0 IN6 111IN7图3 ADC0808管脚图单片机I/O接口功能定义:P0: AD数据采集;P1:数码管段选信号(a,b,c,d,e,f,g);P2.7、RD: A/D数据输出容许信号OE;P2.7、WR:启动A/D;P3.4 ~P3.5:指示灯1,指示灯2 ;P2.0~P2.3 :数码管位选信号(1,2,3,4);INT0: 键+INT1: 键-3.32单片机和ADC0808的联系3.4四段数码管3.4.1数码管显示实际温度和预置温度,采用动态显示引脚说明:1引脚位选1 2引脚A3引脚F 4引脚位选25引脚位选3 6引脚 B7引脚位选4 8引脚G9引脚 C 10引脚CR11引脚 D 12引脚 E3.4.2ULN2003APG驱动负载与数码管联接显示电路3.5电路功能3.5.1按键通过按键控制预置温度,并且能在数码管显示3.5.2负载当温度高于预设温度时,红灯亮,蜂鸣器也会报响警。
当温度低于预设温度时,绿灯会亮。
4 软件设计4.1 AD 转换void samp(){ unsigned int c;XBYTE[0x7FF8]=0;//进行一个写操作,启动A/D 转换delay();a=XBYTE[0x7FF8];//将A/D 转换的结果保存为变量aresult=a*5/256;//将A/D 转换结果换算成十进制数if(result>1.65&&result<2.48){T=14.8*result-12.97;}else if(result>2.50&&result<3.720){T=12.68*result-7.81; }else if(result>3.820&&result<4.92){ T=15.56*result-19.86;}//把电压转换为温度c=T;g=c/10;//显示温度的百位s=c%10;//显示温度的十位b=(int)(T*10)%10;//温度的个位m=Q*10+p;//预设温度n=g*10+s;//实际温度if(n>m){P35=1;P34=0;}else{ P35=0;P34=1;}//当实际温度大于预设温度时,红灯亮,反之绿灯亮}Display.h 文件流程图否4.2按键预置温度/*外部中断0,预设温度加一*/void int0_ser() interrupt 0 using 0{delay();if(INT0==0){ p++;if(p==10){Q++;p=0;}}for(i=0;i<50;i++)DisplaySecond(Q,p);}/*外部中断1,预设温度减一*/void int1_ser() interrupt 2 using 2{ delay();if(INT1==0){ p--;if(p==0){Q--;p=9;}}for(i=0;i<50;i++)DisplaySecond(Q,p);}4.3数码管显示void DisplaySecond(unsigned char s,b){ P2=0xfe;//数码管1亮P1=Tab1[g];//显示温度的百位delay();P2=0xff;P2=0xfD;//数码管2亮P1=Tab1[s]&0x7f;//显示温度的十位delay();P2=0xff;P2=0xfb;//数码管3亮P1=Tab1[b];//显示温度的十位delay();P2=0xff;P2=0xf7;//数码管4亮P1=0xC6;//显示C}4.4主代码void main(){ TMOD=0x01;//定时器工作方式TL0=0xF0;TH0=0xD8;//定时器初值ET0=1;//定时器中断开放EA=1;//总允许TR0=1;//启动定时器T0EX1=1;//外部中断1开放EX0=1;//外部中断0开放PX0=1;//外部中断0优先级置高PX1=1;//外部中断1优先级置高IT0=1;//外部中断0为边沿触发方式IT1=1;//外部中断1为边沿触发方式while(1){ if(flag){flag=0; samp();}//采样标准为1时,调用采样函数进行采样DisplaySecond(s,b);}}//延时函数void delay(void){unsigned int j;for(j=0;j<100;j++);}//数码管动态显示函数void DisplaySecond(unsigned char s,b){P2=0xfe;//数码管1亮P1=Tab1[g];//显示温度的百位P2=0xfD;//数码管2亮P1=Tab1[s]&0x7f;//显示温度的十位delay();P2=0xff;P2=0xfb;//数码管3亮P1=Tab1[b];//显示温度的十位delay();P2=0xff;P2=0xf7;//数码管4亮P1=0xC6;//显示Cdelay();P2=0xff;}4.5系统总代码5 系统测试5.1系统功能通过传感器对温度的感知,测试得到电阻量,再由纹理电路转换成电压量,进过A/D转换变为单片机能够处理的二进制。
在单片机处理中,将实际测得的温度与设置的预设温度都能在数码管上显示,通过按键可以改变预置温度。
如果实际温度小于预设温度时,绿灯会亮;如果实际温度大于预设温度时,红灯会亮,蜂鸣器同时响起。
5.2理论与实际对比通过实际温度计读数与数码管显示温度对比,存在1度左右的误差。
6 设计小结通过两周的电子课程设计,在老师和同学们的帮组下我完成了数字温度计的设计这次实验,我很开心。
采用RS232接口,实现实时温度数据的发送功能;实现多通道温度数据采集和显示功能;可以利用两片单片机之间的串行通信实现对被测对象的远程控制。
7 参考文献[1] 胡晏如,狄苏燕。
模拟电子技术基础。
北京:高等教育出版社,2004.[2] 闰玉德,葛龙,俞虹。
单片微型计算机原理与设计。
北京:中国电力出版社[3] 朱蕴璞. 传感器原理与应用. 国防工业出版社.。