设计一个四位二进制计数器

合集下载

计算机组成原理4位二进制计数器实验报告

计算机组成原理4位二进制计数器实验报告

计算机组成原理实验一4位二进制计数器实验姓名:李云弟 学号:1205110115 网工1201【实验环境】1. Windows 2000 或 Windows XP2. QuartusII9.1 sp2、DE2-115计算机组成原理教学实验系统一台,排线若干。

【实验目的】1、熟悉VHDL 语言的编写。

2、验证计数器的计数功能。

【实验要求】本实验要求设计一个4位二进制计数器。

要求在时钟脉冲的作用下,完成计数功能,能在输出端看到0-9,A-F 的数据显示。

(其次要求下载到实验版实现显示)【实验原理】计数器是一种用来实现计数功能的时序部件,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。

计数器由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。

计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下:计数器的种类⎪⎪⎪⎪⎪⎪⎩⎪⎪⎪⎪⎪⎪⎨⎧⎪⎩⎪⎨⎧⎪⎩⎪⎨⎧⎩⎨⎧进制计数器十进制计数器二进制计数器进制可逆计数器减法计数器加法计数器功能异步计数器同步计数器结构N 、、、321 下面对同步二进制加法计数器做一些介绍。

同步计数器中,所有触发器的CP 端是相连的,CP 的每一个触发沿都会使所有的触发器状态更新。

计数器的设计实验心得

计数器的设计实验心得

计数器的设计实验心得一、引言计数器是数字电路中常见的一个模块,其作用是记录输入信号的个数,并将结果输出。

在数字电路设计中,计数器的应用十分广泛,如频率测量、计时器、分频器等。

在本次实验中,我设计了一个4位二进制同步计数器,并对其进行了测试和验证。

本文将详细介绍实验过程和心得体会。

二、实验原理1. 计数器的基本原理计数器是由触发器组成的数字电路,其输入为时钟信号和复位信号,输出为计数值。

当时钟信号到达触发器时,触发器状态发生变化(从0变为1或从1变为0),并将此状态传递给下一级触发器。

当所有触发器状态都改变后,就完成了一次计数。

2. 4位二进制同步计数器的设计本次实验要求设计一个4位二进制同步计数器。

该计数器由4个D触发器组成,每个D触发器有一个数据输入端(D)、一个时钟输入端(CLK)和一个异步清零端(CLR)。

其中CLK接收外部时钟信号,CLR接收异步清零信号。

具体设计如下:- 第一级D触发器:CLK接收外部时钟信号,CLR接收异步清零信号。

D接收第二级D触发器的Q输出信号。

- 第二级D触发器:CLK接收第一级D触发器的Q输出信号,CLR接收异步清零信号。

D接收第三级D触发器的Q输出信号。

- 第三级D触发器:CLK接收第二级D触发器的Q输出信号,CLR接收异步清零信号。

D接收第四级D触发器的Q输出信号。

- 第四级D触发器:CLK接收第三级D触发器的Q输出信号,CLR接收异步清零信号。

D输入为1。

三、实验过程1. 设计电路图根据上述原理,我设计了一个4位二进制同步计数器电路图。

其中,CLK和CLR分别连接到开关和按钮上,方便手动控制时钟和复位操作。

电路图如下:2. 制作实验板根据电路图,我在实验板上焊接了相应的元件和连接线,并进行了测试和调试。

3. 实验测试在进行实验测试前,我先对时钟频率进行了设置。

由于本次实验要求计数范围为0~15(即4位二进制数),因此时钟频率需要满足以下条件:- 每个计数周期需要16个时钟周期。

四位同步二进制计数器74LS161

四位同步二进制计数器74LS161

四位同步二进制计数器74LS161————————————————————————————————作者:————————————————————————————————日期:四位同步二进制计数器74LS161逻辑符号如图所示:逻辑功能如下表所示:74LS161功能表PTCP 功能1 × 0 ××1 × 1 0 ×1 0 1 1 ×1 1 1 1 0↑↑×××计数并行输入保持保持(CO=0)清零CP是时钟脉冲信号端,是异步清零端,是同步置数控制端,P和T为计数允许控制端,D0~D3为并行数据输入端,Q0~Q3为数据输出端,CO为进位输出端。

由功能表可以看出该芯片具有以下功能:(1)清零功能。

当时,计数器异步清零。

即只要,计数器输出状态立刻变为“0000”。

(2)同步并行置数功能。

当、时,在CP上升沿作用下,并行输入数据D0~D3进入计数器,使计数器的输出端状态为Q3 Q2Q1 Q0=D3D2 D1D0。

(3)保持功能。

当、时,若P·T=0,则计数器保持原来状态不变。

对于进位输出信号有两种情况:如果T=0,则CO=0;如果T=1,则CO= Q3 ·Q2·Q1 ·Q0(4)计数功能。

当、时,若P=T=1,则在时钟脉冲CP上升沿的连续作用下,计数器输出(Q3Q2Q1Q0)的状态按0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→1101→1110→1111→0000的次序循环变化,完成十六进制(或称四位二进制)加法计数。

并且当计数器计到1111时,进位输出端CO输出为1,其他状态时CO输出为0。

四位全加器实验报告

四位全加器实验报告

武汉轻工大学数学与计算机学院《计算机组成原理》实验报告题目:4位二进制计数器实验专业:软件工程班级:130X班学号:XXX姓名:XX指导老师:郭峰林2015年11月3日【实验环境】1. Win 72. QuartusII9.1计算机组成原理教学实验系统一台。

【实验目的】1、熟悉VHDL 语言的编写。

2、验证计数器的计数功能。

【实验要求】本实验要求设计一个4位二进制计数器。

要求在时钟脉冲的作用下,完成计数功能,能在输出端看到0-9,A-F 的数据显示。

(其次要求下载到实验版实现显示)【实验原理】计数器是一种用来实现计数功能的时序部件,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。

计数器由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。

计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下:计数器的种类⎪⎪⎪⎪⎪⎪⎩⎪⎪⎪⎪⎪⎪⎨⎧⎪⎩⎪⎨⎧⎪⎩⎪⎨⎧⎩⎨⎧进制计数器十进制计数器二进制计数器进制可逆计数器减法计数器加法计数器功能异步计数器同步计数器结构N 、、、321 下面对同步二进制加法计数器做一些介绍。

同步计数器中,所有触发器的CP 端是相连的,CP 的每一个触发沿都会使所有的触发器状态更新。

4位同步二进制加法计数器计数最大值

4位同步二进制加法计数器计数最大值

4位同步二进制加法计数器是一种常见的数字电路,用于实现二进制计数。

它可以将二进制数字表示为电信号,并且在每次输入脉冲时进行递增。

下面将详细介绍4位同步二进制加法计数器及其计数的最大值。

一、4位同步二进制加法计数器的原理1. 4位同步二进制加法计数器由4个触发器组成,每个触发器对应一个二进制位。

当输入一个脉冲时,每个触发器根据前一位的状态以及输入脉冲的信号进行状态转换。

这样就实现了二进制数的递增。

2. 触发器之间通过门电路连接,用于控制触发器状态的变化。

这些门电路可以根据具体的设计选择不同的逻辑门,常见的有AND门、OR 门、NOT门等。

3. 4位同步二进制加法计数器是同步计数器,即所有触发器同时接收输入脉冲,确保计数的同步性。

二、4位同步二进制加法计数器的计数最大值1. 4位二进制数的表示范围是0~15,因此4位同步二进制加法计数器的计数最大值为15。

2. 在计数到15后,再输入一个脉冲,计数器将重新从0开始计数,即实现了循环计数。

三、4位同步二进制加法计数器的应用1. 4位同步二进制加法计数器常用于数字电子钟、信号发生器等数字电路中,用于实现计数和定时功能。

2. 它还可以作为其他数字电路的组成部分,用于构建更复杂的逻辑功能。

3. 在数字系统中,计数器是十分重要的组件,它能够实现数字信号的计数和控制,广泛应用于各种数字系统中。

4位同步二进制加法计数器是一种重要的数字电路,通过它可以实现对二进制数的递增计数。

其计数的最大值为15,应用领域广泛。

希望本文内容能够对读者有所启发。

四、4位同步二进制加法计数器的工作原理4位同步二进制加法计数器是一种晶体管数字集成电路,它利用触发器和逻辑门等基本元件构成,能够实现二进制数字的加法计数。

在4位同步二进制加法计数器中,每个触发器代表一个二进制位,通过输入脉冲的控制,能够实现对二进制数的递增计数。

具体来说,当输入一个脉冲信号时,4位同步二进制加法计数器会根据触发器之间的连线和逻辑门的作用,根据之前的状态和输入脉冲的信号进行状态转换,从而实现二进制数的递增。

4位二进制加法器

4位二进制加法器

《电工与电子技术基础》课程设计报告题目四位二进制加法计数器学院(部)汽车学院专业汽车运用工程班级22020903学生姓名郭金宝学号220209031006 月12 日至06 月22 日共 1.5 周指导教师(签字)评语评审人:四位二进制加法器一.技术要求1.四位二进制加数与被加数输入2.二位显示二.摘要本设计通过逻辑开关将A3,A2,A1,A0和B3,B2,B1,B0信号作为加数和被加数输入到超前进位加法器74LS283中进行四位二进制相加,将输出信号S4,S3,S2,S1和向高位的进位C1输入一个译码器译码。

再将输出信号X4,X3,X2,X1和Y4,Y3,Y2,Y1分别输入一个74LS247型的七段显示译码器译码,最后分别接一个BS204数码管进行二位显示。

关键字:74LS283 74LS247 BS204三.总体设计方案的论证及选择1.加法器的选取加法器有两种,分别是串行进位加法器和超前进位加法器。

串行进位加法器由全加器级联构成,高位的运算必须等到低位加法完成送来进位时才能进行。

它虽然电路简单,但运算速度较慢,而且位数越多,速度就越慢。

T692型集成全加器就是这种四位串行加法器。

超前进位加法器由逻辑电路根据输入信号同时形成各位向高位的进位。

使各位的进位直接由加数和被加数来决定,而不需依赖低位进位,这就省去了进位信号逐级传送所用的时间,所以这种加法器能够快速进位。

因为它的这个优点我们选取超前进位加法器。

超前进位加法器的型号有多种,由于我们是非电专业,对电子器件的选取要求不高,为使设计简单所以选74LS283型加法器。

2.译码器的选取译码器的功能是将二进制代码(输入)按其编码时的原意翻译成对应的信号或十进制数码(输出)。

译码器是组合逻辑电路的一个重要器件,其可以分为:变量译码和显示译码两类。

译码器的种类很多,但它们的工作原理和分析设计方法大同小异,其中二进制译码器、二-十进制译码器和显示译码器是三种最典型,使用十分广泛的译码电路。

74ls192实验报告

74ls192实验报告

74ls192实验报告《74LS192实验报告》实验目的:本实验旨在通过使用74LS192集成电路,设计并实现一个四位二进制同步上升计数器。

通过实验,学生将掌握74LS192集成电路的工作原理和应用方法,以及计数器的设计和实现过程。

实验器材:1. 74LS192集成电路芯片2. 电路连接板3. 电源4. 示波器5. 逻辑分析仪6. 电阻、电容、开关等元器件实验原理:74LS192是一种四位二进制同步上升计数器,能够在上升沿触发时进行计数。

它具有四个并行输入线和两个控制输入线,可以通过这些输入来实现不同的计数模式和功能。

通过适当的连接和控制,可以实现不同的计数器功能,如二进制计数、BCD计数等。

实验步骤:1. 将74LS192芯片插入电路连接板中,并连接上电源和示波器。

2. 根据74LS192的引脚功能和连接方式,设计并连接相应的电路。

3. 调整控制输入线和并行输入线的状态,观察74LS192的计数器功能。

4. 使用逻辑分析仪对74LS192进行进一步的测试和分析。

5. 根据实验结果,编写实验报告并总结实验结果。

实验结果:通过实验,我们成功设计并实现了一个四位二进制同步上升计数器,并通过适当的连接和控制,实现了不同的计数模式和功能。

通过示波器和逻辑分析仪的测试和分析,我们对74LS192的工作原理和性能有了更深入的了解,并掌握了其应用方法和注意事项。

实验总结:本实验通过使用74LS192集成电路,设计并实现了一个四位二进制同步上升计数器,并对其进行了测试和分析。

通过实验,我们对74LS192的工作原理和应用方法有了更深入的了解,为今后的电子技术实验和应用打下了良好的基础。

结语:通过本次实验,我们对74LS192集成电路有了更深入的了解,掌握了其工作原理和应用方法,为今后的电子技术实验和应用提供了宝贵的经验和知识。

希望同学们能够认真学习和掌握相关知识,不断提高自己的实验能力和技术水平。

最新利用D触发器构成计数器

最新利用D触发器构成计数器

最新利⽤D触发器构成计数器数字电路实验设计:D触发器组成的4位异步⼆进制加法计数器⼀、选⽤芯⽚74LS74,管脚图如下:说明:74LS74是上升沿触发的双D触发器, D触发器的特性⽅程为⼆、设计⽅案:⽤触发器组成计数器。

触发器具有0 和1两种状态,因此⽤⼀个触发器就可以表⽰⼀位⼆进制数。

如果把n个触发器串起来,就可以表⽰n位⼆进制数。

对于⼗进制计数器,它的10 个数码要求有10 个状态,要⽤4位⼆进制数来构成。

下图是由D触发器组成的4位异步⼆进制加法计数器。

三、实验台:四、布线:1、将芯⽚(1)的引脚4、10连到⼀起,2、将芯⽚(2)的引脚4、10连到⼀起,3、将芯⽚(1)的引脚10和芯⽚(2)的引脚10连到⼀起,4、将芯⽚(1)的引脚10连到+5V;5、将芯⽚(1)的引脚1、13连到⼀起,6、将芯⽚(2)的引脚1、13连到⼀起,7、将芯⽚(1)的引脚13和芯⽚(2)的引脚13连到⼀起,8、将芯⽚(1)的引脚13连到+5V;9、将芯⽚(1)的引脚3接到时钟信号CP10、将芯⽚(1)的引脚2、6接到⼀起,再将引脚2接到引脚1111、将芯⽚(1)的引脚8、12接到⼀起,再将芯⽚(1)的引脚8接到芯⽚(2)的引脚312、将芯⽚(2)的引脚2、6接到⼀起,再将引脚6接到引脚1113、将芯⽚(1)的引脚5、9分别接到Q0、Q1,再将芯⽚(2)的引脚5、9分别接到Q2、Q314、分别将两芯⽚的14脚接电源+5V,分别将两芯⽚的7脚接地0V。

五、验证:接通电源on,默认输出原始状态0000每输⼊⼀个CP信号(单击CP),的状态就会相应的变化,变化规律为0000(原始状态)、1000、0100、1100、0010、1010、0110、1110、0001、1001、0101、1101、0011、1011、0111、1111第⼀章总论第⼀节会计概述⼀、会计的概念及特征(⼀)会计的概念(⼆)会计的基本特征1.会计以货币作为主要计量单位2.会计拥有⼀系列专门⽅法3.会计具有核算和监督的基本职能4.会计的本质就是管理活动⼆、会计的基本职能(⼀)会计的核算职能(⼆)会计的监督职能(三)会计核算与监督职能的关系三、会计对象和会计核算的具体内容(⼀)会计对象(⼆)会计核算的具体内容1.款项和有价证券的收付2.财物的收发、增减和使⽤3.债权、债务的发⽣和结算4.资本的增减5.收⼊、⽀出、费⽤、成本的计算6.财务成果的计算和处理7.需要办理会计⼿续、进⾏会计核算的其他事项第⼆节会计基本假设⼀、会计主体⼆、持续经营三、会计分期四、货币计量第三节会计基础⼀、会计基础的概念和种类⼆、权责发⽣制三、收付实现制第⼆章会计要素与会计科⽬第⼀节会计要素⼀、会计要素的确认(⼀)资产1.资产的定义2.资产的分类(⼆)负债1.负债的定义2.负债的分类(三)所有者权益。

基于Verilog语言的4位二进制可逆计数器的设计

基于Verilog语言的4位二进制可逆计数器的设计

基于Verilog语言的4位二进制可逆计数器的设计作者:杨柳李百伦徐杨李鹏飞殷海博葛楠来源:《电脑知识与技术》2019年第09期摘要:此次设计介绍了Verilog-HDL语言在可逆计数器的具体应用,给出了仿真波形并下载到FPGA开发板上实际进行验证。

说明了实现电子电路的自动化设计(EDA)过程和EDA 技术在现代数字系统中的重要地位及作用.关键词:Verilog-HDL;EDA;FPGA;开发板;仿真中图分类号:TP311 文献标识码:A文章编号:1009-3044(2019)09-0219-021 绪论1.1 Verilog HDL 语言1983 年, GDA公司的 Phil Moorby首先创立Verilog HDL语言。

后来phil morrby变成Verilog-XL 的主要的设计师以及(Cadence Design System)的第一合伙人。

一年后,第一个有关 Verilog-XL 的仿真器由Moobry设计,在1986年他提出的XL算法可以用于快速门仿真,又对 Verilog HDL 的发展产生深远的影响。

1.2 EDA技术的优势1)抽象的行为以及功能的描述,在各个内部线路的结构可以使用HDL对数字系统进行,从而可以进行计算机的模拟验证在电子设计各个阶段、各个层次,进而提高设计过程准确性。

既能缩短设计的周期,也能很大程度降低设计的成本。

2)EDA可以自动完成设计过程,依赖于种类库。

例如:在逻辑综合时由综合库,在逻辑仿真时有模拟库,以及在版图综合时又版图库,在测试综合时有测试库等。

3)渐渐强大的逻辑设计仿真测试技术,很大程度上提高大规模的系统电子设计自动化,是EDA中最具有电子现代化设计的技术特征的功能,对如今自动化生产做出巨大的贡献。

1.3 FPGA介绍FPGA (Field Programmable Gate Array)为现场可编程门阵列。

基于PAL、GAL、FPLD 等一些可编程器件,FPGA在此基础上进行深入发展。

4位二进制加减计数器74191

4位二进制加减计数器74191
大,所有输入接 54
99

74
105
[1]: 测试条件中的“最小”和“最大”用推荐工作条件中的相应值。
LS191 最小 最大
-1.5 2.5 2.7
0.4 0.5 0.3 0.1 60 20 -1.2 -0.4 -20 -100 -20 -100 35 35
单位 V V V mA µA mA mA mA
4.75
5 5.25
2
0.7
0.8
-400
4
8
0
20
25
35
20
0
40
单位
V
V V
uA
mA
MHz ns
ns ns ns
三毛电子世界

逻辑图
三毛电子世界

静态特性(TA为工作环境温度范围)
参数
测 试 条 件【1】
‘191 最小 最大
VIK输入嵌位电压
到低电平传输延迟时间
单位
MHz ns ns ns ns ns
ns
ns ns ns ns ns
三毛电子世界

动态特性(TA=25℃)

数[2]
测试条件
‘191
‘LS191
最小 最大 最小 最大
fmax
tPLH LOAD → 任一 Q
Vcc =5V,CL=15Pf,RL=400Ω
20
20
( ‘LS191 为 2KΩ)
33
33
tPHL
50
50
tPLH D → Q
22
32
tPHL
tPLH CLOCK → RC
50
1
压时输入电流 其余输入 (LS191 为 7V)

实验五 四位二进制加法计数器VHDL设计

实验五 四位二进制加法计数器VHDL设计

实验五四位二进制加法计数器VHDL设计一、实验目的:进一步掌握引脚锁定、硬件下载及芯片测试方法。

掌握开发板的使用。

二、实验仪器:PC机,FPGA开发板,万用表,接线若干。

三、实验内容:1、设计内容如下两张图所示:2、注意开关如处在常态,输出值为‘1’;按下开关的输出值为‘0’。

完成上面的设计,并下载观察实验现象。

开关有抖动吗?3、将20MHz 的输入频率,分频后作为计数器的时钟。

设计电路,并下载观察实验现象。

4、管脚锁定及下载的方法如5~9。

5、选定器件。

点击QuartusII菜单Assignments下的“Device”,出现选择器件系列及器件型号选择窗口。

按照实验中所给的器件型号选择器件系列及器件型号。

(请按照开发板上实际的芯片选择芯片系列,以及芯片型号)选好器件后,重新全程编译。

6、查找管脚号。

观察开发板和外围电路。

确认电路的连接方法。

观察CLK 的管脚号,并记录。

确定数码管所接的端口,记录管脚号。

7、锁定管脚。

选择菜单Assignments下的Pins出现下图。

在Location下选择对应管脚的管脚号。

将CLK锁定在开发板规定的管脚号上。

将输出端锁定在所选定的管脚号上。

所有的引脚锁定后,再次全程编译。

8、在菜单菜单Tools下选择programmer打开编程窗口,观察箭头所指的信息。

如果显示“No Hardware”,点击左边的“Hardware Setup”,双击USB-Blaster。

如下图所示。

点击“Close”,关闭上面的窗口。

此时QUARTUSII的窗口应该为:选中Program/Configure下方的框(出现勾)。

点击左边的“Start”,开始下载。

当显示100%时,下载成功。

9、硬件测试。

观察实验现象。

适当进行操作,实验现象又是什么?四、实验报告要求:1.写出你实验时的芯片系列及芯片型号2.实验箱连接在PC机的什么口上?3.简要说明实验过程中遇到的问题,及解决方法。

EDA四位二进制异步计数器

EDA四位二进制异步计数器

实验九异步计数器一、实验目的l、掌握异步计数器的工作原理;2、用VHDL语言设计异步计数器;3、用结构描述来设计异步计数器及和行为描述相比较。

二、实验原理异步计数器的工作原理如下图,通常由于采用异步时钟,工作延时比较大。

三、实验内容l、用VHDL语言设计四位异步计数器2、通过仿真或观察波形图验证设计的正确性3、编译下载验证结果四、程序和仿真波形:1、程序:library ieee;use ieee.std_logic_1164.all;entity test9 isport(clk1,clr1,d1:in std_logic;--q_n:out std_logic_vector(4 downto 0);q:out std_logic_vector(3 downto 0));end test9;architecture aa of test9 iscomponent d_ffport(d,clk,res:in std_logic;q,q_n:out std_logic);end component;--signal q1:std_logic_vector(3 downto 0);signal q1_n:std_logic_vector(3 downto 0);signal clk_20hz:std_logic;signal key_flag:std_logic :='0';begindff1:d_ff port map (q1_n(0),key_flag,clr1,q(0),q1_n(0)); a:for i in 1 to 3 generatedff1:d_ff port map(q1_n(i),q1_n(i-1),clr1,q(i),q1_n(i)); end generate a;process(clk1)variable num1:integer range 0 to 29999 :=0;beginif clk1'event and clk1='1' thenif num1=29999 thennum1:=0;clk_20hz<=not clk_20hz;else num1:=1+num1;end if;end if;end process;process(clk_20hz)beginif clk_20hz'event and clk_20hz='1' thenif d1='1' and key_flag<='0' then key_flag<='1';elsif key_flag='1' and d1='0' then key_flag<='0';end if;end if;end process;end aa;2、仿真波形:五、实验总结:通过本实验,让我对VHDL编程有了一定的了解和认识,让我初步学习了VHDL 的编写及调试过程,实验中有错误产生,但是经过细心的改正,解决了问题,希望下次实验能有更大的提高。

四位二进制加法计数器课程设计

四位二进制加法计数器课程设计
图3-5提示是否添加文件
图3-6芯片型号选择
(5)点击Next,系统显示如图3-7,提示是否需要其他EDA工具,这里不选任何其他工具;
(6)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish,工程创建向导将生成一个工程,这时软件界面如图3-8,在窗口左侧显示出设备型号和该工程的基本信息等。
2.求时钟方程、状态方程
(1)求时钟方程
采用同步方案,故取CP0=CP1=CP2=CP3=CP
CP是整个要设计的时序电路的输入时钟脉冲
(2)求状态方程
由图2所示状态转换图可直接画出如图3-20所示的电路次Q3n+1Q2n+1Q1n+1Q0n+1的卡诺图,再分解开便可以得到如图3-21所示的各触发器的卡诺图。
end zs_2;
ARCHITECTURE Behavioral OF zs_2 IS
SIGNAL
count:STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
PROCESS(cp,r)
BEGIN
if r='0'then count<="0000";
elsiF cp'EVENT AND cp='1'THEN
(3)在Multisim环境中仿真实现四位二进制加计数器(缺0010 0011 1101
1110)的建立,并通过虚拟仪器验证其正确性。
二课设题目实现框图
在本课程设计中,四位二进制加计数用四个CP下降沿触发的JK触发器实现,其中有相应的跳变,即跳过了0010 0011 1101 1110四个状态,这在状态转换图中可以清晰地显示出来。
图3-11存盘

实验五时序逻辑电路实验报告

实验五时序逻辑电路实验报告

实验五时序逻辑电路实验报告一、实验目的1.了解时序逻辑电路的基本原理和设计方法。

2.掌握时序逻辑电路的设计方法。

3.运用Verilog语言进行时序逻辑电路的设计和仿真。

二、实验原理时序逻辑电路是指在电路中引入记忆元件(如触发器、计数器等),通过电路中的时钟信号和输入信号来控制电路的输出。

时序逻辑电路的输出不仅与当前输入有关,还与之前输入和输出的状态有关,因此对于时序逻辑电路的设计,需要考虑时钟信号的频率、输入信号的变化及当前状态之间的关系。

三、实验内容本次实验通过使用Verilog语言设计和仿真下列时序逻辑电路。

1.设计一个10进制累加器模块,实现对输入信号进行累加并输出,并在仿真中验证结果的正确性。

2.设计一个4位二进制计数器模块,实现对输入时钟信号的计数,并在仿真中验证结果的正确性。

3.设计一个4位带加载/清零控制功能的二进制计数器模块,实现对输入时钟信号的计数,并在仿真中验证结果的正确性。

四、实验步骤1.根据实验原理和要求,利用Verilog语言设计10进制累加器模块。

在设计中需要注意时钟的频率和输入信号的变化。

2.编译并运行仿真程序,验证设计的10进制累加器模块的正确性。

3.在设计时钟频率和输入信号变化的基础上,设计4位二进制计数器模块。

4.编译并运行仿真程序,验证设计的4位二进制计数器模块的正确性。

5.在设计4位二进制计数器模块的基础上,引入加载/清零控制功能,设计一个4位带加载/清零控制功能的二进制计数器模块。

6.编译并运行仿真程序,验证设计的带加载/清零控制功能的二进制计数器模块的正确性。

7.总结实验结果,撰写实验报告。

五、实验结果与分析1.经过验证实验,10进制累加器模块能够正确实现对输入信号的累加并输出正确的结果。

2.经过验证实验,4位二进制计数器模块能够正确实现对输入时钟信号的计数,并输出正确的计数结果。

3.经过验证实验,带加载/清零控制功能的二进制计数器模块能够正确实现对输入时钟信号的计数,并在加载或清零信号的控制下实现加载或清零操作。

二进制计数器实验报告

二进制计数器实验报告

二进制计数器实验报告《二进制计数器实验报告》摘要:本实验旨在设计和实现一个简单的二进制计数器电路,并通过实验验证其功能和性能。

实验结果表明,所设计的二进制计数器能够正确地进行二进制计数,并且在实际应用中具有良好的稳定性和可靠性。

引言:二进制计数器是数字电子电路中常见的一种逻辑电路,用于实现二进制数字的计数功能。

在许多数字系统中,二进制计数器被广泛应用于数据存储、时序控制和信号处理等方面。

因此,设计和实现一个高性能的二进制计数器对于数字系统的设计和应用具有重要意义。

实验目的:1. 设计一个简单的二进制计数器电路;2. 实现所设计的二进制计数器电路;3. 验证二进制计数器的功能和性能。

实验原理:二进制计数器是一种逻辑电路,它能够按照二进制数字的规律进行计数。

在本实验中,我们设计了一个4位二进制计数器,它由4个触发器和一组逻辑门组成。

当触发器接收到时钟信号时,它们将按照二进制的规律进行计数,并输出相应的计数结果。

通过适当的逻辑门电路,我们可以实现二进制计数器的复位、加载和输出功能。

实验步骤:1. 按照设计要求,选择适当的触发器和逻辑门,并绘制二进制计数器的电路图;2. 制作所设计的二进制计数器电路,并进行电路连接;3. 使用示波器和数字逻辑分析仪对二进制计数器进行测试,并记录测试结果;4. 对测试结果进行分析和总结。

实验结果:经过实验测试,我们发现所设计的二进制计数器能够正确地进行二进制计数,并且在时钟信号的作用下,能够稳定地输出相应的计数结果。

同时,我们还测试了二进制计数器的复位和加载功能,结果表明它们也能够正常工作。

因此,我们可以得出结论:所设计的二进制计数器具有良好的功能和性能。

结论:通过本次实验,我们成功地设计和实现了一个简单的二进制计数器电路,并验证了它的功能和性能。

这为我们进一步深入研究和应用二进制计数器奠定了基础,也为数字系统的设计和应用提供了重要的参考和借鉴。

在今后的研究和实践中,我们将继续探索二进制计数器的优化和应用,以满足不同数字系统的需求。

数字逻辑4位二进制加法计数器的设计

数字逻辑4位二进制加法计数器的设计

实验4:4位二进制加法计数器的设计
实验者:
地点:宿舍
时间:
硬件:PC 主要软件: Quartu s Ⅱ 9.1
4.1 实验目的
通过实验加深对计数器工作原理的理解,掌握实际工程中采用的基本设计方法。

4.2 实验内容
(1)在Quarturs Ⅱ9.1设计环境下,用J-K 触发器设计一个4位二进制同步加法计数器,并进行仿真。

(2)改用标准参数化模块(LPM)功能库lpm_counter 模块实现同一功能。

4.3 原理提示
计数器: 对CLK 脉冲(称为“计数脉冲”)进行计数,计数值一般就是电路的状态值。

计数器的框图如图4-1所示。

CLK : 计数脉冲。

每来一个CLK 脉冲,计数值加1(或减1)。

CLR : 计数值清零控制端。

当CLR 有效时,计数值被强制置0。

LOAD :置数控制端。

当LOAD 有效时,计数值被强制置为指定的值。

计数器
Q 3 Q 2 Q 1 Q 0 LOAD
CLR
CLK
图4-1 计数器框图。

eda实验报告计数器

eda实验报告计数器

eda实验报告计数器EDA实验报告-计数器引言:计数器是数字电路中常用的基本模块之一,它在各个领域都有着广泛的应用。

本实验旨在通过EDA(电子设计自动化)软件进行计数器的设计与仿真,探索计数器的原理和功能。

一、计数器的基本原理计数器是一种能够按照规定的顺序改变其输出状态的电子电路。

它通过内部的触发器和逻辑门实现数字信号的计数功能。

常见的计数器有二进制计数器、十进制计数器等。

二、实验设计与仿真1. 实验目标本次实验的目标是设计一个4位二进制计数器,并通过EDA软件进行仿真验证。

计数器的功能是在每个时钟脉冲到来时,输出的二进制数加1。

2. 设计思路计数器的设计需要考虑以下几个方面:- 选择适当的触发器:本实验选择了D触发器作为计数器的基本单元,因为D触发器具有简单、易于控制的特点。

- 确定计数器的位数:本实验设计了一个4位计数器,即可以表示0~15的二进制数。

- 连接逻辑门:通过逻辑门将各个触发器连接起来,实现计数器的功能。

3. 电路设计根据设计思路,我们使用EDA软件进行电路设计。

首先,将4个D触发器连接起来,形成4位计数器。

然后,根据计数器的功能要求,将时钟信号连接到每个触发器的时钟输入端。

最后,将各个触发器的输出通过逻辑门进行连接,得到计数器的输出。

4. 仿真验证完成电路设计后,我们使用EDA软件进行仿真验证。

通过输入不同的时钟信号,观察计数器的输出是否符合预期。

在仿真过程中,我们可以调整时钟信号的频率,观察计数器的计数速度。

三、实验结果与分析通过EDA软件的仿真,我们得到了计数器的输出结果。

在时钟信号的作用下,计数器按照预期进行了计数,并输出了相应的二进制数。

通过观察输出结果,我们可以得出以下几点结论:- 计数器的输出与时钟信号的频率有关,频率越高,计数速度越快。

- 计数器的输出按照二进制的顺序进行计数,当达到最大值时,会从0重新开始计数。

四、实验总结本次实验通过EDA软件进行了计数器的设计与仿真。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1、要求:设计一个四位二进制计数器,将计数结果由数码管显示,显示结果为十进制数。

数码管选通为低电平有效,段码为高电平有效。

分析:VHDL 描述包含五部分:计数器、将四位二进制数拆分成十进制数的个位和十位、二选一的数据选择器、七段译码、数码管选通控制信号线定义为信号library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter3 is Port ( clk:in STD_LOGIC; clk1 : in STD_LOGIC; clr : in STD_LOGIC; en : in STD_LOGIC; co : out STD_LOGIC; scanout:out std_logic_vector(1 downto 0); ledout:out std_logic_vector(6 downto 0)); end counter3; architecture Behavioral of counter3 is signal cnt:std_logic_vector(3 downto 0); signal cnt1:std_logic_vector(3 downto 0); signal cnt2:std_logic_vector(3 downto 0); signal hex:std_logic_vector(3 downto 0); signal scan:std_logic_vector(1 downto 0);enclrsignal led:std_logic_vector(6 downto 0); begin--四位二进制计数器process(clk)beginif clk'event and clk='1' thenif clr='1' thencnt<=(others=>'0');co<='0';elsif en='1' thenif cnt="1111" thencnt<="0000";co<='1';elsecnt<=cnt+'1';co<='0';end if;end if;end if;end process;--将二进制数拆分成十进制数的个位和十位cnt1<=cnt when cnt<="1001" elsecnt-"1010";cnt2<="0000" when cnt<="1001" else"0001";--七段数码管选通控制信号产生process(clk1,clr)beginif clr='1' thenscan<="00";elsif clk1'event and clk1='1' thenif scan="00" or scan>="10" thenscan<="01";elsescan<=scan+'1';end if;end if;end process;scanout<=scan;--二选一数据选择器with scan selecthex<=cnt1 when "01",cnt2 when others;ledout<=not led;--七段译码with hex selectled<="1111001" when "0001","0100100" when "0010","0110000" when "0011","0011001" when "0100","0010010" when "0101","0000010" when "0110","1111000" when "0111","0000000" when "1000","0010000" when "1001","0001000" when "1010","0000011" when "1011","1000110" when "1100","0100001" when "1101","0000110" when "1110","0001110" when "1111","1000000" when others;end Behavioral;2、八位二进制计数器结果有两位七段数码管显示library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration ifinstantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity counter8 isPort ( clk:in std_logic;clk1 : in STD_LOGIC;clr : in STD_LOGIC;en : in STD_LOGIC;co : out STD_LOGIC;scanout:out std_logic_vector(1 downto 0);ledout : out STD_LOGIC_VECTOR (6 downto 0));end counter8;architecture Behavioral of counter8 issignal cnt:std_logic_vector(7 downto 0);signal hex:std_logic_vector(3 downto 0);signal scan:std_logic_vector(1 downto 0);signal led:std_logic_vector(6 downto 0);beginprocess(clk)beginif clk'event and clk='1' thenif clr='1' thencnt<=(others=>'0');co<='0';elsif en='1' thenif cnt="11111111" thencnt<="00000000";co<='1';elsecnt<=cnt+'1';co<='0';end if;end if;end if;end process;process(clk1,clr)beginif clr='1' thenscan<="00";elsif clk1'event and clk1='1' thenif scan="00" or scan>="10" thenscan<="01";elsescan<=scan+'1';end if;end if;end process;scanout<=scan;with scan selecthex<=cnt(3 downto 0) when "01",cnt(7 downto 4) when others; ledout<=not led;with hex selectled<="1111001" when "0001","0100100" when "0010","0110000" when "0011","0011001" when "0100","0010010" when "0101","0000010" when "0110","1111000" when "0111","0000000" when "1000","0010000" when "1001","0001000" when "1010","0000011" when "1011","1000110" when "1100","0100001" when "1101","0000110" when "1110","0001110" when "1111","1000000" when others; end Behavioral;。

相关文档
最新文档