Synopsys系列工具简介
舍不得说的PDF文件:Synopsys OptSim工具介绍说明书
DATASHEET Overview The Synopsys OptSim tool is an award-winning photonic integrated circuit and fiber-optic system simulator. With state-of-the-art time- and frequency-domain split-step algorithms, OptSim provides engineers around the globe with a native photonic-domain environment to design and optimize photonic circuits and systems. OptSim can be used as a standalone solution with its own graphical user interface (Windows and Linux), or integrated into the OptoCompiler Photonic IC design platform (Linux). When used as an OptoCompiler-integrated simulator, OptSim:•Supports electro-optic (E-O) co-simulation with Synopsys PrimeSim HSPICE and PrimeSim SPICE electrical circuit simulators •Integrates seamlessly with the PrimeWave Design Environment for advanced simulation, analyses, and visualization including parametric scans, Monte Carlo and corner analyses •Provides single- and multimode fiber-optic system modeling capabilities.When used as a standalone simulator, OptSim’s GUI provides functionalities of schematic entry, simulation setup, and visualization.Introduction Photonic integration is an answer to the ever-increasing demands for more bandwidth, better energy efficiency, smaller footprint, and improved reliability. The adaptation of photonic ICs (PICs) is rapidly growing acrossindustry segments such as telecom, data centers, optical interconnects,automotive, sensing, aerospace & defense, artificial intelligence (AI), andphotonic computing. PICs are becoming complex and the component count isincreasing at a rapid pace. Co-packaged optics (CPO) and xPU I/O are drivingmore complex trade-offs between electronics and photonics. Gone are the dayswhen it was sufficient to model photonics on the back of an envelope, withsome homegrown code, or as electronics in electrical circuit simulators. WithOptSim, you use the most comprehensive optical simulator with the industry’sbest electrical circuit simulators on the respective portions of the design withinthe OptoCompiler platform.Features at a Glance•E lectronic-photonic co-design via Synopsys PrimeSim HSPICE and PrimeSim SPICE•Simulation of single and multimode fiber optic systems and photonic integrated circuits•Seamless integration with OptoCompiler and PrimeWave Design Environment•Extensive libraries of photonic andelectronic components and analysistools•Support for numerous foundryprocess design kits (PDKs)•Support for custom photonics (PDKsand devices) via Photonic DeviceCompiler•Support for hierarchical design and bidirectional signal flow•Design for manufacturing via MonteCarlo and corner analyses OptSim Electro-Optic Co-Simulation of Photonic Integrated Circuits and Fiber-Optic SystemsDesigning single- and multimode fiber-optic systems requires capabilities to support advanced intensity- and phase-modulation for both single- and multi-channel transmission with direct and coherent detection. The interplay of polarization-dependent transmission impairments with noise, crosstalk, and multi-path interference (MPI) can create challenges to the channel capacity. In addition to PIC modeling capabilities, OptSim provides rich libraries of components and powerful analyses options to facilitate the design of a diverse range of system applications such as coherent telecom systems, RF-over-fiber, high-speed Ethernet, passive-optical-networks, and free-space optics.Figure 1: Photonic and electronic circuit and system simulation from the OptoCompiler cockpitFeatures•Works with foundry model libraries and provides a complete library of generic model templates of integrated photonics devices, enabling engineers to tailor models to measured behavior. In addition to supporting PIC design models and features, OptSim provides a rich library of single- and multimode fiber-optic system design models to support testing a PIC at the system levelFigure 2: The OptSim library includes electrical and photonic models to simulate circuits and systems•Models bidirectional signal flow for both optical (single- and multi-wavelength) and electrical signals•Models multipath interference (MPI), reflections, and resonances from network and PIC devices•Supports Monte Carlo and corner analyses•Supports simulation of design hierarchies•Supports measurement- and datafile-driven modeling of active and passive photonic components, electroniccomponents, and circuits•Supports custom design, combining foundry models and custom devices•Co-simulation with PrimeSim HSPICE and PrimeSim SPICE enables simulation of electronics in the PIC using industry-leading electrical circuit simulators together with the simulation of photonic circuits in OptSimFigure 3: Co-simulating electronic and photonic circuits in OptSim•OptSim is integrated with the Synopsys PrimeWave Design Environment, for both electrical and photonic netlists allowing setup of test benches, specifying simulation engine and parameters, performing scans and analyses for both electrical, photonic, and combined schematicsFigure 4: Setting up a testbench and simulation in PrimeWave Design Environment•OptSim results and waveforms (logical, electrical, and optical) can be viewed in both the PrimeWave Design Environment WaveView and OptSim Viewer©2021 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks isavailable at /copyright .html . All other names mentioned herein are trademarks or registered trademarks of their respective owners.Figure 5: OptSim: Viewing simulation waveforms in PrimeWave Design Environment WaveView•Standalone OptSim (Windows, Linux) has its own graphical user interface and provides an intuitive simulation experienceFigure 6: OptSim GUI: Simulation of a PAM4 fiber-optic systemApplications:•Single- and multi-stage PICs for photonic computing, optical neural networks, life sciences, photonic sensor PICs •Segmented-electrode (SE) and traveling-wave Mach-Zehnder modulators (TW-MZM), optical filters, ring resonators,ring modulators•Transceivers for coherent and non-coherent fiber optic communication systems (such as NRZ, RZ, m-PAM, BPSK, QPSK,m-QAM, and OFDM)•Single- and multimode fiber-optic systems and circuits•Free-space optics, RF-over-fiber: Intermodulation distortion (IMD), dynamic range, sensitivity•Datacenter and automotive interconnects•Photonic systems with multipath interference (MPI), reflections, and resonancesPlatform Support•Linux: Red Hat Enterprise (64-bit), CentOS (64-bit)•Windows (64-bit): Standalone OptSim。
synopsys_formality指导手册_概述说明
synopsys formality指导手册概述说明1. 引言1.1 概述在硬件设计领域,验证是一个非常重要的环节。
在设计过程中,我们需要确保设计的正确性和可靠性。
为了实现这个目标,Formality工具被广泛应用于电子设计自动化(EDA)过程中的形式验证。
Synopsys Formality是一款强大的形式验证工具,它可以帮助我们验证两个不同层次或版本的设计之间的等效性。
通过使用Formality,我们可以有效地检查逻辑门级网表和原始RTL之间是否存在功能差异或者错误。
本指导手册将介绍Formality工具的基本概念、应用场景以及使用步骤。
你将了解到如何利用Formality进行验证,并掌握其使用方法和技巧。
1.2 文章结构本文将分为以下几个部分:- 引言:对Formality进行概述并介绍文章结构。
- 正文:详细介绍Formality工具及其相关内容。
- Formality基本概念:解释Formality中涉及到的关键概念和术语。
- Formality的应用场景:探讨使用Formality解决哪些问题以及在哪些情况下选择使用该工具。
- 使用Formality进行验证的步骤:分步骤介绍如何使用Formality进行验证。
- 结论:总结本文的主要内容,并指出Formality在硬件验证中的重要性和前景。
1.3 目的本指导手册的目的是为读者提供对于Formality工具的全面理解。
通过阅读本文,读者将能够了解Formality在形式验证中的基本概念、功能和应用场景,从而能够更好地应用该工具来提高硬件设计的准确性和可靠性。
2. 正文Formality是Synopsys公司开发的一款形式验证工具,它旨在为硬件设计工程师提供一种高效且可靠的形式验证解决方案。
Formality通过比较两个逻辑设计的等效性来进行验证,确保电路实现与规范之间不存在功能差异或逻辑错误。
Formality作为一种形式验证工具,在电路设计领域中有着广泛的应用。
synopsys产品线介绍.docx
Synopsys产品线介绍目录DC Ultra (1)DesignWare Library (DesignWare库) (2)DFT Compiler (2)TetraMAX ATPG (3)Apollo-II (3)Star-RCXT (4)Hercules (4)PrimeTime (5)VCS (5)Vera (6)LEDA (6)Cosmos-Scope (7)DC UltraDesign Compiler的最高版本在Synopsys软件中完整的综合方案的核心是DC UltraTM,对所有设计而言它也是最好级别的综合平台。
DC Ultra添加了全面的数据通路和时序优化技术,并通过工业界的反复证明。
DC Ultra具有独特的优化技术,能满足今天设计的各种挑战。
DC Ultra提供快速的具有先进水平的数据通路优化技术,能建立快速关键路径时序。
另外,DC Ultra采用后布局和优化布线技术,易于较快达到时序收敛。
DC Ultra已在工业界确立了领先地位,DC Ultra综合引擎能提供DC Expert 所有的功能,以及它的独特的优点。
能与DC Ultra共同工作的软件有路径综合、测试综合和功耗优化、静态时序和功耗分析,以及经验证的、高性能Design Ware库。
这是经过验证的技术独特的集成,形成一个完整的综合解决方案,能在最短的时间里满足用户所有的设计挑战。
●对数据通路设计的面积和时序方面,提交最好质量的设计结果●对时序要求很高的设计, 提供最好的电路性能●与测试和功耗综合紧密结合,以提供最高的设计效率,并致力于实现所有综合的目标●对那些需要多次反复设计流程才能达到时序收敛的设计,通过提供和布局布线环境的紧密衔接,有助于快速实现设计的多时序收敛●来自于超过50个硅片和库的供应商可应用的大于500个综合库DesignWare Library (DesignWare库)DesignWare Library包含了最常用的结构以外的IP,这对于设计开发ASIC和SOC来讲是必要的。
常用synopsys _dc命令详解
参数包括:search_path, target_library, link_library, symbol_library
1.45 综合时不想使用某些库单元进行 mapping,怎么办? 使用 set_dont_use 命令
/******** Part 2 Compile stategy **************/
2.1 约束一个设计分为几个方面? 总的分为,面积约束和时序约束。
2.2 面积约束ference 是多个子设计例化的通称,port 是 design 的输入输出,pin 是 cell 的输入输出。
1.20 什么叫 start point 和 end point?
这两个概念是 DC 中 path 概念的起始点和终点。 起始点可以是 input 和 FF 的 clk 终点可以是 FF 的 data 和 output。
2.10 如何对当前设计的端口外部条件进行约束? 端口的外部条件包括输入驱动大小,输出负载的大小,扇出大小。
2.11 输入端口被多大的驱动所驱动? 可以使用 set_dirive 和 set_driving_cell
2.12 输出端口要驱动多大的负载? 使用 set_load 对输出电容值进行约束,单位根据工艺库的 define 所定。
2.5 什么叫虚拟时钟约束? 虚拟时钟是指在当前要综合的模块中不存在的物理时钟。比如,设计外的 DFF 的时钟。 建立这样的时钟有益于描述异步电路间的约束关系。
synopsys ic compiler 介绍、安装、调试和设计流程
synopsys ic compiler 介绍、安装、调试和设计流程加入该小组相关分类:petery (组长) 2007/9/23 顶楼举报一、介绍synopsys ic compiler (v2005.linux)是基于Galaxy设计平台开发的产品。
主要的工具有:LEDALEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混合描述进行检查,加速SoC的设计流程。
LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力VCSVCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。
VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off 的要求。
VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。
VCS已经将CoverMeter 中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。
VCS 和Scirocco也支持混合语言仿真。
VCS和Scirocco都集成了Virsim图形用户界面,它提供了对模拟结果的交互和后处理分析。
SciroccoScirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。
它与VCS一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。
Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加快了验证的速度,并能够在一台工作站上模拟千万门级电路。
这一性能对要进行整个系统验证的设计者来说非常重要。
VeraVera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。
SynopsysSentaurusprocess工具介绍
“可制造性设计”似乎是一个新的词汇。
所谓“可制造性设计”其英文缩写为DFMdesign-for-manufacturability。
事实上。
我们这部书所讨论的主题就是“可制造性设计”。
前面若干章节所讲授的虽然是基于一维的集成电路制造工艺级仿真相对简单一些。
但是也属于工艺级可制造性设计的技术范畴和科学领域。
将重点介绍当今全球最为著名的IC设计软件开发商美国新思科技SynopsysInc.最新发布的新一代TCAD系列设计工具中的新一代集成电路工艺级仿真工具SentaurusProcess注TCAD 系列工具还包括器件物理特性级模拟系统SentaurusDevice及虚拟化加工与制造系统SentaurusWorkbench。
§1 Sentaurus Process工艺级仿真工具SentaurusProcess是SynopsysInc.最新推出的新一代TCAD工艺级仿真工具被业界誉为第五代集成电路制程级仿真软件是当前最为先进的纳米级集成工艺仿真工具。
SentaurusProcess是迄今为止集成电路制程级仿真软体中最为全面、最为灵活的多维一维、二维、三维工艺级仿真工具。
SentaurusProcess面向当代纳米级集成电路工艺制程全面支持小尺寸效应的仿真与模拟用于实现甚大规模ULSI集成电路的工艺级虚拟设计可显著地缩短集成电路制造工艺级设计、工艺级优化乃至晶圆芯片级产品的开发周期。
SentaurusProcess为国际化的大型工程化计算机仿真系统有Unix版本及Linux版本供用户选用。
对于中国内地用户SentaurusProcess的用户许可授权及安装均由SynopsysInc.中国分支机构北京新思科技、上海新思科技等提供优质的技术支持和服务。
SentaurusProcess仿真系统设置有两种启动方式。
一种是交互启动及运行模式另一种是批处理启动及运行模式。
根据用户的使用需要若要在交互模式下启动SentaurusProcess可以在已安装有SentaurusProcess并启动了该系统的license软件使用许可程序的PC计算机若使用的是SentaurusProcess的Linux版本或计算机工作站若使用的是SentaurusProcess的Unix版本命令行提示符下输入以下命令sprocess§1-2 创建Sentaurus Process批处理卡命令文件编辑SentaurusProcess批处理卡命令文件可使用Unix或Linux操作系统环境下的各类文本编辑器、例如gedit文本编辑器编辑完成。
EDA技术Synopsys公司STA工具PrimeTime介绍ppt课件
ASIC设计中心
PrimeTime命令
EDA技术实验
1 设计输入
PT不能读取RTL源文件,它是静态分析引擎, 只能读取映射后的设计,包括db、verilog、 vhdl等格式的文件。 pt_shell> read_db –netlist_only <filename>.db
2018/11/15 2 ASIC设计中心
PT
EDA技术实验
PT是Synopsys的sign-off quality的STA 工具,是一个单点的全芯片、门级静态时 序分析器。 PrimeTime工作在设计的门级层次,并 且和Synopsys其它工具整合得很紧密。
2018/11/15
3
ASIC设计中心
2018/11/15
7
ASIC设计中心
PT进行时序分析的流程
EDA技术实验
进行时序分析:
在作好以上准备工作的基础上,可以对电路 进行静态时序分析,生成constraint reports 和path timing reports。
2018/11/15
8
ASIC设计中心
PrimeTime的用户界面
读入设计(和库文件) 链接顶层设计 对必要的操作条件进行设置,这里包括了线上负载 的模型、端口负载、驱动、以及转换时间等
2018/11/15
5
ASIC设计中心
PT进行时序分析的流程
EDA技术实验
指定时序约束(timing constraints)
定义时钟周期、波形、不确定度(uncertainty)、延 时(latency) 指明输入输出端口的延时等
PT
EDA技术实验
基本特点和功能:
建立和保持时间的检查(setup and hold checks) 时钟脉冲宽度的检查 门控时钟检查(clock-gating checks) recovery and removal checks unclocked registers 未约束的时序端点(unconstrained timing endpoints) multiple clocked registers 组合反馈回路(combinational feedback loops) 基于设计规则的检查,包括对最大电容、最大传输时间、 最大扇出的检查等。
Synopsys 实验系列11_物理验证_Hercules
内容
Hecules 概述 Hecules DRC
Hecules LVS
Hecules Explorer
Hercules DRC
DRC (Design Rule Check)
–
–
对IC 版图做几何空间检查,以确保线路能够被特定加工工艺实现 是检查版图数据是否违反Foundry 的设计规则。
DRC (Design Rule Check)
Step1:继续输入命令hercules –C lvs.ev
Step2:生成mips.RESULTS和mips.LVS_ERRORS
文件
内容
Hecules 概述 Hecules DRC
Hecules LVS
Hecules Explorer
进入Exploer 界面指令:hxdrc hxlvs
Break up the antenna by shifting the net to a different metal layer.
另一种方法是把大片的金属线打断,放在另一层,如图所示。
Hercules ERC Flow
For a standard ERC flow, follow these steps: 1. Read in your input files (physical database and runset). 2. Run Hercules ERC. 3. Review the summary files. 4. Debug any errors; fix errors in Astro (antenna only).
Hercules 概述
对IC
版图做几何空间检查,以确 保线路能够被特定加工工艺实现
synopsys formality工具的工作原理
synopsys formality工具的工作原理
Formality工具是一种静态形式化验证工具,用于验证硬件设
计中的等价性和功能正确性。
Formality工具的工作原理如下:
1. 输入处理:Formality工具从设计的RTL源代码和目标网表
中获取输入。
它还将读取指定的约束、特定的规范和目标等。
2. 优化:Formality工具将对输入进行优化,以简化设计结构
并提高验证效率。
它可以识别并删除冗余的逻辑,并应用一系列规则和算法来缩小设计。
3. 等价性检查:Formality工具会通过比较源代码和目标网表,验证其等效性。
它会检查所有的语义变化、优化和转换,以确保两者之间的行为一致。
4. 错误检测:如果Formality工具发现了有不一致的设计部分,它将生成错误报告,指示检查器发现了源代码和目标网表之间的等效性问题。
报告将包含有关问题的详细信息和调试建议。
5. 快速复核:如果源代码和目标网表之间的等效性已经验证过,Formality工具可以生成一个快速复核文件,以便在日后快速
重新验证设计的等效性。
通过这些步骤,Formality工具能够在不依赖仿真的情况下验
证设计的等效性和正确性。
它可以在RTL和后端设计之间进行综合和验证,提供了更加全面和准确的验证结果。
EDA技术_Synopsys公司逻辑综合工具DC介绍 part1
1)、DC的安装目录; 2)、用户的home目录; 3)、当前启动目录。
注意:后装载的启动文件中的设置将覆盖先装载的启 动文件中的相同设置。
2013-7-9
22
(四)DC的基本概念(续)
EDA技术实验
系统库变量
search_path定义库文件所在的搜索路径 目标库(targe_library):是DC在mapping时将设计映射到特 定工艺所使用的库,就是使用目标库中的元件综合成设计的门 级网表 连接库(link_library):是提供门级网表实例化的基本单元, 也就是门级网表实例化的元件或单元都来自该库。连接库定义 为标准单元的db格式的库文件加上pad db格式的库文件,加上 ROM,RAM等宏单元库文件 synthetic_library是综合库,它包含了一些可综合的与工艺无关 的IP。dw_foundation.sldb是Synopsys提供的名为Design Ware的 综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存 储器等IP,在综合时调用这些IP有助于提高电路性能和减少综 合时间。 符号库(symbol_library):是工艺库元件的图形符号表示,用 来图形化显示生成的门级网表。
synopsys vdk使用方法
Synopsys VDK 使用方法Synopsys VDK(Virtualizer Development Kit)是一款用于虚拟开发和测试的软件工具。
它提供了一套完整的虚拟开发环境,包括模拟器、调试器和分析工具,帮助用户在不依赖硬件的情况下进行软件开发和测试。
本文将介绍Synopsys VDK的使用方法,包括安装、配置、使用和调试等方面的内容。
一、安装VDK1.1 下载VDK安装包在Synopsys冠方全球信息站上下载VDK的安装包,选择适合自己系统的版本进行下载。
1.2 安装VDK解压下载的安装包并按照提供的安装指南进行VDK的安装。
在安装过程中,可以根据自己的需求选择安装的组件和路径。
1.3 激活VDK安装完成后,根据提供的激活码激活VDK软件。
二、配置VDK2.1 创建新的虚拟开发项目在VDK中创建新的虚拟开发项目,设置项目的名称、目标处理器和其他相关配置信息。
2.2 导入软件模型将需要开发和测试的软件模型导入到VDK中,包括处理器模型、外设模型等。
2.3 配置虚拟开发环境配置VDK的虚拟开发环境,包括处理器的初始化、外设的连接和虚拟的外部环境设置等。
三、使用VDK3.1 虚拟化软件开发在VDK中进行软件的开发,包括编译、调试和运行等操作。
可以使用VDK提供的调试器进行软件的调试和分析。
3.2 虚拟化软件测试利用VDK进行软件的测试,包括单元测试、集成测试和系统测试等。
可以通过虚拟化的方式模拟各种复杂的场景和情况进行测试。
3.3 虚拟化性能分析使用VDK进行软件的性能分析,包括性能指标的监控、分析和优化等。
可以通过VDK提供的分析工具进行性能分析的工作。
四、调试VDK4.1 软件调试在VDK中进行软件的调试工作,包括断点设置、变量监视和程序运行状态检查等。
4.2 虚拟硬件调试利用VDK进行虚拟硬件的调试,包括外设的模拟、接口的调试和虚拟硬件的状态监控等。
4.3 软硬件协同调试在VDK中进行软硬件协同的调试工作,包括软硬件的交互调试、数据传输的监控和协同数据处理等。
Synopsys综合工具DesignCompiler(DC)
Synopsys综合工具DesignCompiler(DC)Synopsys 综合工具Design Compiler(DC)简介一.约束的基本概念:约束就是对用户的设计中可度量的电路参数(如时序、面积以及电容等)进行声明。
没有约束,工具(本文为DC)就不能有效地优化电路,以满足要求。
当DC对设计进行优化时,使用了两类约束:1)设计规则约束(Design Rule Constraints,DRC):此类约束是工具固有的,由工艺库(technology library)来定义。
此类约束是设计功能正确的必要条件,通过库应用于所有设计上。
当然,你可以将它们定义的更紧。
2)优化约束(Optimization Constraints):它们是由用户定义的,前提是可实现的。
用户在使用DC时,可以通过命令行或编写约束文件(.scr)来定义约束。
下图给出DC 主要的DRC及优化约束,以及相关的DC命令(dc_shell接口命令)。
DRC:最大转换时间(Max Transition Time):对于一条连线(net)来说,是其驱动pin逻辑值转化的最长时间。
最大扇出(Max Fanout):对于驱动pin来讲。
最大/最小电容(Max/Min Capacitance):用来控制连线的电容值。
器件退化(Cell Degradation):某些工艺库包括器件退化表,它列举了某一器件可驱动的最大电容,是该器件输入pin最大转换时间的函数。
优化约束:时序约束:包括输入/输出延迟(Input/Output Delay):同步路径最大/最小延迟(Minimum/Maximum Delay):异步路径最大面积(门数):最小孔隙度(Min porosity):可布线性二.约束报告约束报告提供了设计规则和优化约束的信息。
可采用如下命令产生相应报告:report_constraintreport_portreport_clockreport_attributereport_timing_requirements用户可通过输出相应报告,来分析设计是否满足了约束。
Synopsys TIS Pro 产品介绍说明书
PRODUCT FEATURESDescript ionSynopsys TIS P ro is an optical scattering inst rument for effic ientmeasurements of reflectance, transmittance, and absorption . This fully automated dev ice features an integrated sph ere and spectra l detector assembled in a housing that c ontrols stray lig ht to ensure fas t, accuratemeasurement r esults. Synopsy s TIS Pro deter mines the optic al properties of surfaces and m aterials and pro vides measure ments over the entire visible spectrum at va rious angles of incidence.Synopsys TIS P ro can be used in conjunction with Synopsys Mini-Diff and REFLET 180S p roducts to prov ide a complete , end-to-end so lution that fully characterizes s cattering prope rties of surface s and materials , including bi-directional s cattering distrib ution function (BSDF) and tota l integrated scattering (TIS ) data.Measurement d ata from Synop sys TIS Pro can be imported in to optical desig n software tools to provide reali stic simulation s of your as-built product.Figure 1: Synops ys TIS Pro housin g and instrumen t (prototype pictu red does notnecessarily repre sent the final des ign)Features at a Glance•Practical instrument for accurateoptical scattering measurements over the entire visible spectrum at multiple angles of incidence•Provides reflectance, transmittance, and absorption measurements of surfaces and materials used in optical systems •Designers can import the measurement data into Synopsys optical software tools for high-accuracy product simulationsHow Synopsys TIS Pro WorksOnce you have placed a surface or material sample in the instrument, use the Synopsys TIS Pro software to specify the angles of incidence to measure and start the measurement. The rotation stages from the source and sample will rotate accordingly. Synopsys TIS Pro will then aim light onto the sample and its spectral detector will collect the signal exiting the integrating sphere for the specified positions.Using a standard calibration measurement, the Synopsys TIS Pro software will post-process and compute the reflectance,transmittance, and absorption values of the sample. You can display, save, and export this data to optical design software for high-accuracy product simulations.No SampleReference MeasurementSample MeasurementSampleFigure 2: Synopsys TIS Pro software and transmittance measurement principleApplication ExamplesSynopsys TIS Pro is ideal for assessing the effects of surfaces and materials in optical systems.•Characterize reflector/diffuser materials for automotive design or general lighting systems• Evaluate quality controls in production• Analyze stray light suppression from coatings used in aerospace optics • Measure spectral behavior to incorporate in photorealistic renderings • Study optical properties of cosmetics• Characterize materials for many incident anglesContact us to request a demo of these and other application examples.REFLECTANCE ABSORBANCE TRANSMITTANCEFigure 3: TIS ratio grade exampleSpectral MeasurementsSynopsys TIS Pro uses a halogen source and a spectral detection for both reflectance and transmittance. It allows a full wavelength-dependent measurement. Results are displayed in a 2D plot within the software (TIS values against wavelength for different angles of incidence).Figure 4: Example of green sample spectral reflectanceReflective MaterialsSynopsys TIS Pro provides TIS measurements including reflectance for several incident angles over the entire visible spectral range.• After a two-step calibration (one step for dark signal to offset stray light and one step with a known reference standard to calibrate the response of the spectrophotometer), it is possible to measure:–Reflectors, such as aluminum for general lighting–Paints for automotive or cosmetic applications–Diffusing material sockets–Optical mounts and more, including space optics• The measured reflectance values can be saved as text files–TIS comparison can be made directly from the software for comparison between samples–Synopsys TIS Pro software also accounts for anisotropic material measurementExample: Black CoatingReflector materials can have complex behavior depending on the incident plane. The Synopsys TIS Pro allows you to capture reflective properties for various angles of incidence.Figure 5: Example of black sample reflectance spectrumTransmissive MaterialsSynopsys TIS Pro provides TIS measurements including transmittance for several incident angles over the entire visiblespectral range.• The same source is used for transmittance and reflectance measurements• After a two-step calibration (one step for dark signal to offset stray light and one step with no sample to calibrate the response of the spectrophotometer), it is possible to measure diffusing materials such as:–Dichroic filters–Colored diffusing plastics–Opal glassFigure 6: Example of transmissive materials measurementReflective and Transmissive MaterialsSynopsys TIS Pro provides absorption measurements on diffusers and can create a ready-to- use reflectance and transmittance (RT) file for use in illumination design software and photorealistic simulations.Figure 7: Synopsys TIS Pro data can be used to enhance photorealistic renderings of your product designs ComponentsSynopsys TIS Pro includes:• One calibration sample• Integrated software• High-precision spectrophotometer• Barium sulfate coated sphere• Stray light reduction housingTechnical SpecificationFor more information about Synopsys Optical Solutions, visit /optical-solutions.html or send an email to*******************.©2022 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks isavailable at /copyright.html. All other names mentioned herein are trademarks or registered trademarks of their respective owners.。
EDA技术_Synopsys公司逻辑综合工具DC 介绍 part2
2013-7-9
21
Synopsys工艺库—功能、时序等 属性信息
2013-7-9
22
Synopsys工艺库——逻辑库
EDA技术实验
延时计算 Synopsys支持的延迟模型有:
CMOS通用的延迟模型 CMOS分段的线性延迟模型 非线性的查找表延迟模型
DSM的设计,前两种延迟模型不常用, 非线性延迟模型以输入的跃迁时间和输出 的容性负载为参变量计算延迟的时间值, 其结果以表格的形式列出供DC查找。
2013-7-9
12
Design Compiler Interfaces
EDA技术实验
1) dc_shell-t(DC-Tcl)
2) dc_shell(DCSH)
3) Design Analyzer
4) Design vision
in 2000.11 release
2013-7-9
13
CONTENTS
2013-7-9
18
Synopsys工艺库——逻辑库
EDA技术实验
环境描述:用于对PVT的偏差建模。
工作条件(operating conditions) 线负载模型(WLM, wire load model) 时序范围模型
2013-7-9
19
Synopsys工艺库——逻辑库
EDA技术实验
工作条件(operating conditions):指 定了工艺、温度、电压以及RC树模型, 用于设计的综合和时序分析。例如:
2013-7-9 23
Synopsys工艺库——逻辑库
EDA技术实验
设计人员了解工艺库,在于正确使用和 理解逻辑库,而不在于与库设计者相关的 细节上。
Synopsys-实验系列11-物理验证-Hercules(共40张)
进入(jìnrù)Exploer 界面指令:hxdrc hxlvs
版图格 式
第33页,共40页。
第34页,共40页。
Hercules ERC
ERC (Electrical Rule Check)
– 检查电源和地的短路,器件(qìjiàn)、节点悬空以及节点对节点短路 等电气错误
第19页,共40页。
DRC-LA-006
DRC-LA-006-1P6M-HERCULES-DRC-2.6_P2.ev 0.18_0.35um_layer_mapping.txt
die_corner_check.txt
hjtc_ant_hercules_check.txt
layout_rule_check.txt
经过验证,其出错信息可以通过 Hercules 的GUI 模式Hercules Explorer — hxlvs 与hxdrc 反标回版图中,图形化显示便于及时改错。Hercules Explore 与Synopsys 的其他工具有着天然的结合:在布局布线工具Apollo, Astro,版图工具Enterprise 里面可以直接调用Hercules 做验证;同时与其 他第三方工具比如Cadence 的Virtuoso、Composer,Mentor 的ICgrap 通 过skill 语言或者Filter 程序有着良好的接口。
文件
第30页,共40页。
Run hercules lvs-比较(bǐjiào)
Step1:继续输入命令hercules –C lvs.ev Step2:生成mips.RESULTS和mips.LVS_ERRORS文件
第31页,共40页。
内容(nèiróng)
Hecules 概述 Hecules DRC Hecules LVS Hecules Explorer
Synopsys TestMAX DFT 设计测试工具简介说明书
DATASHEET Overview Synopsys TestMAX DFT is a comprehensive, advanced design-for-test (DFT) tool that addresses the cost challenges of testing designs across a range of complexities. TestMAX DFT supports all essential DFT, including boundary scan, scan chains, core wrapping, test points, and compression. These DFT structures are implemented through TestMAX Manager for early validation of the corresponding register transfer level (RTL), or with Synopsys synthesis tools to generate netlists. Multiple codecs and architectures are supported that address the need for ever-higher levels of test data volume, test time reduction, and fewer test pins. TestMAX DFT leverages Synopsys Fusion Technology to optimize power, performance and area for the design, minimizing the impact from DFT. Key Benefits • Lowers test costs • Enables high defect coverage • Accelerates DFT validation using RTL • Minimizes impact on design power, performance, and area • Preserves low-power design intent • Minimizes power consumption during test • Integration and verification of IEEE1687 network and compliant IP • Integration and verification of IEEE 1500 access network Key Features • High test time and test data reduction • Patented, powerful compression technologies • RTL generation with TestMAX Manager • Fused into Design Compiler ® and Fusion Compiler™ for concurrent optimization of area, power, timing, physical and test constraintsComprehensive,advanced design-for-test (DFT)TestMAX DFT Design-for-Test Implementation• Hierarchical scan synthesis flow support• Pin-limited test optimizations• Unknown logic value (X) handling• Location-aware scan chain reordering during incremental compile• Core wrapping with shared use of existing core registers near core I/Os• Analysis-driven test point insertion using TestMAX Advisor• Flexible scan channel configurations to support multi-site testing and wafer-level burn-in• Multiple compression configurations to support different testers and packages with different I/O• Boundary scan synthesis, 1149.1/6 compliance checking and BSDL generation• Consistent, comprehensive DRC shared with ATPG• Enables TestMAX ATPG for compressed pattern generation• IEEE 1687 ICL creation and verification• Hierarchical IEEE 1687 PDL pattern porting• Automated pattern porting and generation of tester-ready patterns in WGL/STIL/SVF and post-silicon failure diagnostics02468101214304K436K 653K 702K 728K 1M 1.2M 3.5MT e s t e r c y c l e s (m i l l i o n s )Standard scan Scan with compression Design sizeFigure 1: TestMAX DFT delivers high test time and test volume reductionHigh Test Time and Test Data ReductionTestMAX DFT reduces test costs by providing high test data volume compression (Figure1). Using Synopsys’ patented TestMAX DFT compression architectures, TestMAX DFT saves test time and makes it possible to include high defect-coverage test patterns in tester configurations where memory is limited. With the industry’s most area-efficient solution, TestMAX DFT has virtually no impact on design timing and results in the same high test coverage as provided by standard scan (Figure 2a). For additional test time and data reduction, TestMAX DFT implements test points within synthesis, via its transparent links to TestMAX Advisor for powerful test point analysis and selection.Pin-Limited TestTo accommodate designs that require a limited number of test data pins either at the top-level or per core, TestMAX DFTgenerates an optimized architecture that ensures high quality without incurring extra test data. Several factors limit the number of available test pins, including tighter form factors, multi-site testing to target multiple die simultaneously, and core-basedmethodologies with multiple embedded compressor-decompressors (codecs). These types of techniques minimize the number of chip-level test pins available to each codec. To provide high test data volume and test application time reduction for these pin-limited test applications, TestMAX DFT generates a low-pin tester interface. Use TestMAX DFT to minimize the required number of scan I/O for pin-limited testing (Figure 2b).Input pattern values Output pattern values Input pattern valuesOutput pattern valuesFigure 2a: (left) Codec optimized for high pin count; Figure 2b. (right) Codec optimized for pin-limited testingDFT Implementation into RTLIn conjunction with TestMAX Manager, TestMAX DFT offers early validation of complex DFT logic and architecture by producing RTL. For easy adoption, commands are similar to Synopsys’ widely deployed standard scan synthesis flow. TestMAX DFT generates compression logic directly into RTL, which can be verified with the VCS® simulator or other Verilog simulation tools. In addition, all test and design constraints are automatically generated for synthesis tools. Validation of RTL DFT ensures key compression logic and connections with other DFT logic such as logic BIST and memory BIST operate as specified, prior to synthesis, leading to very high and predictable test coverage and test compression results.DFT SynthesisThe TestMAX DFT synthesis flow is based on the industry’s most widely deployed standard test synthesis flow and incorporates Test Fusion technology. TestMAX DFT synthesizes DFT logic directly from RTL or gates into testable gates with full optimizationof synthesis design rules and constraints. All test and compression requirements specified prior to the synthesis process aremet concurrently with area, timing and power optimization. TestMAX DFT also enables TestMAX ATPG to seamlessly generate compressed test patterns while achieving high test quality.Complete DFT Rules CheckingFor maximum productivity, and prior to executing TestMAX DFT, TestMAX Advisor enables designers to create “test-friendly” RTL. TestMAX Advisor identifies DFT rules violations early in the design cycle during the pre-synthesis stage to avoid design iterations. Specifically, TestMAX Advisor validates that the design is compliant with scan rules to ensure operational scan chains and the highest test coverage. The violations can be diagnosed using its powerful integrated debugging environment that enables cross-probing among violations, RTL and schematic views. For flows within Design Compiler and Fusion Compiler products, TestMAX DFT provides comprehensive design rule checking for scan and compression logic operation.Fusion Design Platform For Concurrent Optimization Of Area, Power, Timing, Physical And Test ConstraintsWith Synopsys’ synthesis flow (Figure 3), scan compression logic is synthesized simultaneously with scan chains within the Fusion Design Platform. Location-based scan chain ordering and partitioning provides tight timing and area correlation with physical results using Fusion Compiler or IC Compiler. This enables designers to achieve area, power, timing and DFT closure simultaneously. TestMAX DFT writes detailed scan chain information which Synopsys’ physical design tools read, which then perform further optimizations to reduce area impact and decrease overall routing congestion (Figure 4).RTL Creation FlowNetlist Creation Flow Figure 3: Test compression flowIntegrating DFT resources into a complex multi-voltage design can be a time-consuming and error-prone process without automation tailored for low-power flows. Once voltage domain characteristics of the design with IEEE 1801 (unified power format or UPF) are specified, TestMAX DFT automatically inserts level shifters and isolation cells during scan chain implementation. To reduce routing congestion and area impact of the DFT logic, TestMAX DFT minimizes both scan chain crossings between power/voltage domains and the number of level shifters inserted.Figure 4: These screen captures show TestMAX DFT results without the routing congestion associated with standard scanHierarchical Scan SynthesisTo handle test synthesis of very large designs, some level of abstraction is required so that the system/chip integrator can reduce design time. By abstracting the DFT information in a test model, along with timing and placement information, TestMAX DFT enables quick hierarchical test implementation of multi-million gate designs.Boundary Scan Synthesis and Compliance Checking to the 1149.1/6 Standard TestMAX DFT delivers a complete set of boundary scan capabilities including:• TAP and BSR synthesis• Compliance checking to the IEEE 1149.1/6 standard• Boundary Scan Description Language (BSDL) file generation• Functional and DC parametric pattern generation for manufacturing testIntegrated Setup of TetraMAX ATPG for Pattern GenerationTestMAX DFT transfers all information about the scan compression architecture and test operation to TestMAX ATPG. Working together, TestMAX ATPG and TestMAX DFT automatically generate compressed, power-aware test patterns with highest test coverage.©2021 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks isavailable at /copyright.html . All other names mentioned herein are trademarks or registered trademarks of their respective owners.。
Synopsys_Design_Compiler使用
Synopsys Design Compiler使用一、介绍:美国Synopsys公司发布的“Design Compiler”软件,简称“DC”,是一种逻辑合成工具。
通过改进电路延迟时间的计算方法,缩小了逻辑合成时的时序与布局完成后的最终时序之间的偏差。
DC得到全球60多个半导体厂商、380多个工艺库的支持。
据最新Dataquest的统计,Synopsys的逻辑综合工具占据91%的市场份额。
DC是十二年来工业界标准的逻辑综合工具,也是Synopsys最核心的产品。
它使IC设计者在最短的时间内最佳的利用硅片完成设计。
它根据设计描述和约束条件并针对特定的工艺库自动综合出一个优化的门级电路。
它可以接受多种输入格式,如硬件描述语言、原理图和网表等,并产生多种性能报告,在缩短设计时间的同时提高设计性能。
二、要求:由于实训没有硬性要求VHDL文件,本人挑选了已经预先做好的洗衣机时控电路,利用Synopsys Design Compiler工具,进行优化分析,通过这一过程熟悉软件的使用。
三、过程:设置优化运行库,鉴于老师在练习手册上面提供的例子,我们使用库LSI-10K,因此在打开VHDL文件前,需要作以下设置Setup->Defaults,填入以下信息。
在File->read打开要分析优化的VHDL文件,这里我选择一个洗衣机时控电路NewWasher.vhd 如下所示(分别为不同层次的视图:顶层、器件、门级电路)在Tools->Design Optimization中设置好兼顾平衡的约束条件(左图),确定编译后,产生了右边经过优化的门级电路图。
接下来,把优化过的图形转换为VHDL文件和DB文件输出,另存到指定位置File->Save As如右图,生成了新的DB文件和vhd文件。
接下来,是要设定CLK的周期,根据分析、优化、编译出来的Slack Time 松弛数值和Area面积数值,选取最合适的平衡点。
EDA技术_Synopsys公司STA工具PrimeTime介绍
Perform a full analysis and examine the results
check_timing report_cell report_clock report_design report_hierarchy report_wire_load report_port report_reference report_path_group report_net report_lib report_timing report_constraint report_bottleneck report_analysis_coverage
create_clock set_clock_uncertainty set_clock_latency set_clock_transition set_input_delay set_output_delay
Specify the environment and analysis conditions
EDA技术实验 技术实验
Synopsys公司 公司STA工具 工具PrimeTime 公司 工具
2010-12-29
1
静态时序分析STA
EDA技术实验 技术实验
静态时序分析( 静态时序分析(Static Timing Analysis)技术 ) 是一种穷尽分析方法,用以衡量电路性能。 是一种穷尽分析方法,用以衡量电路性能。
Synopsys产品线介绍
Synopsys产品线介绍目录DC Ultra (1)DesignWare Library (DesignWare库) (3)DFT Compiler (4)TetraMAX ATPG (6)Apollo-II (7)Star-RCXT (8)Hercules (10)PrimeTime (12)VCS (13)Vera (15)LEDA (16)Cosmos-Scope (18)DC UltraDesign Compiler的最高版本在Synopsys软件中完整的综合方案的核心是DC UltraTM,对所有设计而言它也是最好级别的综合平台。
DC Ultra添加了全面的数据通路和时序优化技术,并通过工业界的反复证明。
DC Ultra具有独特的优化技术,能满足今天设计的各种挑战。
DC Ultra提供快速的具有先进水平的数据通路优化技术,能建立快速关键路径时序。
另外,DC Ultra采用后布局和优化布线技术,易于较快达到时序收敛。
DC Ultra已在工业界确立了领先地位,DC Ultra综合引擎能提供DC Expert所有的功能,以及它的独特的优点。
能与DC Ultra共同工作的软件有路径综合、测试综合和功耗优化、静态时序和功耗分析,以及经验证的、高性能Design Ware库。
这是经过验证的技术独特的集成,形成一个完整的综合解决方案,能在最短的时间里满足用户所有的设计挑战。
●对数据通路设计的面积和时序方面,提交最好质量的设计结果●对时序要求很高的设计, 提供最好的电路性能●与测试和功耗综合紧密结合,以提供最高的设计效率,并致力于实现所有综合的目标●对那些需要多次反复设计流程才能达到时序收敛的设计,通过提供和布局布线环境的紧密衔接,有助于快速实现设计的多时序收敛●来自于超过50个硅片和库的供应商可应用的大于500个综合库DesignWare Library (DesignWare库)DesignWare Library包含了最常用的结构以外的IP,这对于设计开发ASIC和SOC来讲是必要的。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Synopsys系列工具简介Synopsys的产品线覆盖了整个IC设计流程,使客户从设计规范到芯片生产都能用到完备的最高水平设计工具。
公司主要开发和支持基于两个主要平台的产品,Galaxy设计平台和Discovery验证平台。
这些平台为客户实现先进的集成电路设计和验证提供了整套综合性的工具。
Synopsys解决方案包括:System Creation(系统生成)System Verification and Analysis(系统验证与分析)Design Planning(设计规划)Physical Synthesis(物理综合)Design for Manufacturing(可制造设计)Design for Verification(可验证设计)Test Automation(自动化测试)Deep Submicron, Signal and Layout Integrity(深亚微米技术、信号与规划完整性技术)Intellectual Property and Design Reuse Technology(IP 核与设计重用技术)Standard and Custom Block Design(标准和定制模块设计)Chip Assembly(芯片集成)Final Verification(最终验证)Fabrication and Packaging(制造与封装设计工具)Technology CAD(TCAD)(工艺计算机辅助设计技术)主要包括以下工具:1.VCS (Verilog Compiled Simulator)2.DC (Design Compiler)3.ICC (IC Compiler)4.PT (PrimeTime)5.Hercules (Hercules Physical Verification)6.Star-RCXT (parasitic extraction tool)7.LEDA (LEDA Checker and LEDA Specifier)8.Formality (RTL to gate-level equivalence checking of cell-based designs)9.TetraMAX ATPG (Provides manufacturing test patterns for scan designs)1.VCS (Verilog Compiled Simulator)VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。
VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off 的要求。
VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。
VCS已经将CoverMeter 中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。
VCS 和Scirocco也支持混合语言仿真。
VCS和Scirocco都集成了Virsim图形用户界面,它提供了对模拟结果的交互和后处理分析。
VCS 2009.12 Linux验证库建立在经实践验证的DesignWare验证IP的基准上,并添加了对Synopsys的参考验证方法学(RVM)和本征测试平台的支持,能够实现覆盖率驱动的测试平台方法学,而且其运行时间性能提高了5倍。
VCS 2009.12 Linux 验证库是业界范围最广的基于标准的验证IP产品组合,可以方便地集成到Verilog、SystmVerilog、VHDL和Openvera的测试平台中,用于生成总线通信以及协议违反检查。
监测器提供了综合全面的报告,显示了对总线通信协议的功能覆盖率。
VCS验证库的验证IP也包含在DesignWare库中,或作为独立的套件购买。
主要优势:●业界范围最广的IP产品组合;●采用VCS & Pioneer NTB时,仿真性能有显著的提高;●可充分进行配置,达成对测试的更好控制和更快的开发测试易于使用的界面,并且提供测试平台示例,加快学习速度,并加速测试平台的开发过。
2.DC (Design Compiler)Design Compiler为Synopsys公司逻辑合成工具。
DC得到全球60多个半导体厂商、380多个工艺库的支持。
据最新Dataquest的统计,Synopsys的逻辑综合工具占据91%的市场份额。
DC是十多年来工业界标准的逻辑综合工具,也是Synopsys最核心的产品。
它使IC设计者在最短的时间内最佳的利用硅片完成设计。
它根据设计描述和约束条件并针对特定的工艺库自动综合出一个优化的门级电路。
它可以接受多种输入格式,如硬件描述语言、原理图和网表等,并产生多种性能报告,在缩短设计时间的同时提高设计性能。
Synopsys发布的最新版Design Compiler综合解决方案--Design Compiler。
新版本扩展了拓扑技术,以加速采用先进低功耗和测试技术的设计收敛,帮助设计人员提高生产效率和IC性能。
拓扑技术可帮助设计人员正确评估芯片在综合过程中的功耗,在设计早期解决所有功耗问题。
此外,还支持Design Compiler中新的测试压缩技术,在实现高质量测试的同时,减少测试时间和测试数据量超过100倍,并减少后续物理实现阶段由于测试电路带来的可能的布线拥塞。
新的Design Compiler采用了多项创新综合技术,如自适应retiming和功耗驱动门控时钟,性能较以前版本平均提高8%,面积减少4%,功耗降低5%。
此外,Synopsys Formality等效检测解决方案得到了增强,能够独立、彻底地验证这些技术,因此设计者无需舍去验证就可以实现更高的性能。
美国加利福尼亚州山景城,2010年4月6日--全球领先的半导体设计、验证和制造的软件及知识产权(IP)供应商新思科技有限公司(Nasdaq:SNPS)日前宣布:该公司在其Galaxy™设计实现平台中推出了最新的创新RTL综合工具Design Compiler® 2010,它将综合和物理层实现流程增速了两倍。
为了满足日益复杂的设计中极具挑战性的进度要求,工程师们需要一种RTL综合解决方案,使他们尽量减少重复工作并加速物理实现进程。
为了应对这些挑战,Design Compiler 2010对拓扑技术进行扩展,为Synopsys旗舰布局布线解决方案IC Compiler提供“物理层指引”;将时序和面积的一致性提升至5%的同时,还将IC Complier的布线速度提升了1.5倍。
Design Compiler 2010的这一项新功能使RTL工程师们能够在综合环境中进行布局检测,从而可以更快地达到最佳布局效果。
此外,Design Complier采用可调至多核处理器的全新可扩展基础架构,在四核平台上可产生两倍提升综合运行时间。
3.ICC (IC Compiler)IC Compiler是Synopsys新一代布局布线系统(Astro是前一代布局布线系统),通过将物理综合扩展到整个布局和布线过程以及签核驱动的设计收敛,来保证卓越的质量并缩短设计时间。
上一代解决方案由于布局、时钟树和布线独立运行,有其局限性。
IC Compiler的扩展物理综合(XPS)技术突破了这一局限,将物理综合扩展到了整个布局和布线过程。
IC Compiler采用基于TCL的统一架构,实现了创新并利用了Synopsys的若干最为优秀的核心技术。
作为一套完整的布局布线设计系统,它包括了实现下一代设计所必需的一切功能,如物理综合、布局、布线、时序、信号完整性(SI)优化、低功耗、可测性设计(DFT)和良率优化。
Synopsys发布的新一代布局布线解决方案--IC Complier。
新版ICC运行时间更快、容量更大、多角/多模优化(MCMM)更加智能、而且具有改进的可预测性,可显著提高设计人员的生产效率。
同时,新版本还推出了支持45nm、32nm技术的物理设计。
IC Compiler正成为越来越多市场领先的IC设计公司在各种应用和广泛硅技术中的理想选择。
新版的重大技术创新将为加速其广泛应用起到重要作用。
IC Compiler引入了用于快速运行模式的新技术,在保证原有质量的情况下使运行时间缩短了35%。
新技术将16Gb平台的容量增加到接近1,000万门,有助于用户实现更大的模块划分。
新版增加了集成的、层次化的设计规划的早期介入,有助于用户高效处理一亿门级的设计。
提高生产能效的另一个关键在于物理可行性流程,它能够使用户迅速生成和分析多次试验布局,以确定具体实现的最佳起始值。
4.PT (PrimeTime)PrimeTime是针对复杂、百万门芯片进行全芯片、门级静态时序分析的工具。
PrimeTime可以集成于逻辑综合和物理综合的流程,让设计者分析并解决复杂的时序问题,并提高时序收敛的速度。
PrimeTime是众多半导体厂商认可的、业界标准的静态时序分析工具。
Galaxy™ 设计平台中的时序验证核心工具--PrimeTime®的最新版本凭借其静态时序分析能力和对数百万门设计进行认可的能力,成为新的时序工具标准。
从用户使用情况显示,最新发布的PrimeTime的运行速度比之前版本平均提高了2到7倍,从而提升了设计者的设计能力,并实现快速的时序认可。
PrimeTime强大的性能得益于在生成报告和基于标准延迟文件(SDF)的时序分析方面的算法的改进。
PrimeTime提供全芯片级的静态时序分析,同时整合了延迟计算和先进的建模功能,以实现有效而又精确的时序认可。
PrimeTime SI是全芯片门级信号完整性分析工具。
PrimeTime SI建立在成功流片验证过的PrimeTime平台之上的,提供精确的串扰延迟分析,IR drop(电压降落)分析和静态时序分析。
PrimeTime SI业界领先的超快运行时间和处理容量让数百万门的复杂设计一次流片成功,让设计者取得极快的进入市场时间。
PrimePower是一种针对复杂百万门级设计的动态全芯片功耗验证工具,具有门级功耗分析的能力。
PrimePower能准确而有效地验证ASIC/SOC设计中平均和峰值功耗。
PrimePower全面的功耗验证帮助工程师选择正确的封装方案,决定散热要求,确证设计正确。
精确的算法为门级性能的估算提供了有如SPICE般的精度(误差在5%-10%);基于事件的峰值功耗验证提供了分辨率达100ps的分析能力;对千万门级的电路的门级分析的能力;无缝的结合到工业界标准的流程中,功能强大,使用方便。