Modelsim SE-64 10.2c破解方法和步骤
Modelsim的下载及安装
You compile your design units into the library( compatible across all supported platforms)
编辑课件
7
Modelsim 6.5基本仿真流程
4.右击“我的电脑”,“属性”/“高级”/“环境变量”,新 建系统变量LM_LICENSE_FILE,变量值赋LICENSE.dat 所在路径(…\win32\LICENSE.dat)确定,重启计算机
编辑课件
5
Modelsim 6.5基本仿真流程
编辑课件
6
Modelsim 6.5基本仿真流程
1. Creating the Working Library
编辑课件
15
Modelsim 6.5基本仿真流程
3. Create the working library. File/ New /Library
Work中自动创建了一个_info
的文件。表明此目录为
Modelsim的工作库,不 能改动。
编辑课件
16
Modelsim 6.5基本仿真流程
4. Then
2.选择SE6.5版本,进入注册页面
编辑课件
3
Modelsim的下载及安装
3.注册后进入ftp,选择倒数第二个exe文件,下载源文件, 倒数第一个安装说明文件,也可以下载
编辑课件
4
Modelsim的下载及安装
3.安装后,到网上下载modelsim6.5的破解软件 modelsim6.5_keygen。运行里面的mentorkg.exe文件 ,产生license.txt ,后缀名txt改为dat,复制到安装目录 的win32下
modelsim问题综述
MODELSIM问题综述——阿泽成长路鉴于最近一直困于modelsim se 10.0c(win32/win64)的安装及破解,在借鉴了度娘提供的好多零散的信息后,今天好好归纳总结下权当做个备忘,也顺便给电子信息、通信专业及做数字系统设计的同学分享我的经验。
安装过程很常规,一直狂击next就ok,只是在安装过程中会产生一个选项(是否使用硬件级别证书),选择yes,即要求重新启动(注意安装路径不允许有中文与下划线)完成安装,度娘也说可以点no,总之对于软件的破解不会产生影响,至于后期的使用还未见太多端倪。
在我鼓捣的好多次中有过重启,也有点no,应该不会影响,但我建议还是照软件原意选择安装,值得一提的是安装过程还比较漫长需耐心等待。
在安装后就是软件的破解了。
1.解压modelsim-gcc-4.2.1-mingw32vc9.zip/modelsim_se_10.0c.rar,然后将解压后的文件()覆盖(粘贴)到安装目录下的win64/win32目录下,如果win64/win32目录下已存在就选择替换,没有就直接保存。
2.打开patch_dll.bat同是在弹出的选项中选择运行MentorKG.exe,此时请耐心等待,正常情况下会弹出LICENSE.TXT文件,将其保存到modelsim10.0c安装目录下(与win64/win32同级存在!!!);然而也可能遇到运行patch_dll.bat但得不到LICENSE.TXT的情况这时就只有从外部直接复制一个LICENSE到安装目录下,至于这个LICENSE的得到就只有从别人那儿copy了。
3.以上步骤之后需要修改环境变量。
右键计算机-->属性-->高级系统设置-->环境变量,之后在系统变量中寻找E:\modeltech64_10.0c\license.txt(安装目录因人而异),选择编辑在原来值后加分号,再将变量值改为modelsim10.0c的安装目录+license.txt ,之后一路ok;但如果系统变量中无E:\modeltech64_10.0c\license.txt 则需要新建变量名:LM_LICENSE_FILE,变量值同上,之后ok。
QuartusII13.0与Modelsim SE安装与破解说明
QuartusII13.0与Modelsim SE安装与破解说明FPGA开发使用到的常用软件为QuartusII和Modelsim,QuartusII为设计软件,Modelsim为仿真软件。
本人目前使用的QuartusII为13.0版本,使用的Modelsim为SE的10.4版本的。
(SE版本什么意思,在以后的学习中大家一起慢慢补充)学习FPGA的前提是要安装这两款软件,需要软件的可以联系我。
两款软件大体分为三个步骤:1.安装。
2.破解。
3.关联两个软件。
本人使用的电脑为Win7的64位系统,32位系统装步骤大同小异。
现将这两款软件的安装及破解详细说明如下。
一、QuartusII13.0安装与破解(1)安装QuartusII13.0安装的过程完全按照它的提示来,本人建议不要安装在C盘,毕竟C盘内存宝贵。
我的QuartusII13.0安装的路径为E:\soft\quartus13.0,路径不可出现汉字。
(2)破解QuartusII13.01.首先将破解器复制到E:\soft\quartus13.0\quartus\bin64的目录下。
2.然后运行破解器,点“应用”直接进行破解,生成的License保存在E:\soft\quartus13.0\quartus\bin64的目录下。
3.接着,打开软件,找到软件的NIC的ID,复制其中的一个ID。
4.然后,找到第2步保存的License,使用记事本打开,将其中的XXXXXXXXXXXX全部替换成第3步的ID,保存即可。
再次打开软件,点“Tools”,找到“License setup”,会弹出一个对话框。
如图1.1所示。
选择好License file的路径,然后会出现使用的年限,如图1.2所示,即说明破解成功。
file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image002.jpg图1.1 License setup对话框file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image001.jpg图1.2 破解成功示意图二、Modelsim SE安装与破解(1)安装Modelsim SE安装的过程按照它的提示进行,我的安装路径为E:\soft\modelsim10.4an,安装路径不可出现汉字。
Modelsim的下载及安装
Modelsim是一种验证和仿真工具
针对Verilog、VHDL、systemVerilog、systemC及其混合语言 官网:/
Modelsim的下载及安装
1.进入SE的download
Modelsim的下载及安装
2.选择SE6.5版本,进入注册页面
4.右击“我的电脑”,“属性”/“高级”/“环境变量”,新 建系统变量LM_LICENSE_FILE,变量值赋LICENSE.dat 所在路径(…\win32\LICENSE.dat)确定,重启计算机
Modelsim 6.5基本仿真流程
Modelsim 6.5基本仿真流程
1. Creating the Working Library In ModelSim, all designs are compiled into a library. You typically start a new simulation in ModelSim by creating a working library called “work” ( the default library name).
Modelsim 6.5基本仿真流程
1. Create a new directory and copy the design files for this lesson into it. Counter.v tcounter.v
2. Start modelsim File /Change Directory (to the directory you created)
Modelsim的下载及安装
3.注册后进入ftp,选择倒数第二个exe文件,下载源文件, 倒数第一个安装说明文件,也可以下载
Modelsim10.1安装指南
Modelsim 10.1c安装指南
1、首先确定下安装所需要的文件,如下图:
2、点击modelsim-win32-10.1c-se.exe安装modelsim,如果你安装有老版本,请先卸载。
推荐就安装在C盘,我的是C:\modeltech_10.1c .
当出现询问是否安装Hardware Security Key Driver 时选择NO
之后会提示是否运行许可证向导,在这里暂停,不要管它了,先去破解。
3 破解
将patch_dll.bat和MentorKG.exe 拷贝到modelsim的安装目录下的win32文件夹,比如我的就是:C:\modeltech_10.1c\win32
点击运行patch_dll.bat,耐心等待后会跳出一个文本,如下图
将其另存到C:\modeltech_10.1c\win32文件夹下,名字LICENSE.TXT
4 编辑环境变量C:\modeltech_10.1c\win32\LICENSE.TXT
在系统环境变量添加MGLS_LICENSE_FILE 指向刚才的LICENSE.TXT 如下图。
5 回到刚在2中暂停的界面点击done。
如果有新弹出的窗口直接关闭。
双击桌面的modelsim 的图标,如果出现如下图,就表示都OK啦。
终于破解了win764位的modelsim10.1c安装
终于破解了win764位的modelsim10.1c安装
从网上找了很多有关win7 64位系统的modelsim SE-64 10.1c 版本的破解说明,终于破解了,现在分享一下:
1、按照步骤安装modelsim SE-64 10.1c,安装过程中弹出的Hardware license的安装界面,一般选择yes后重新启动;
2、复制MentorKG.exe和patch_dll.bat到安装目录下的win64文件夹中。
3、用管理员身份运行cmd(win+r)进入命令中,修改路径cd 到安装目录下的win64文件夹,即输入cd
D:\modeltech64-10.1c\win64,运行patch_dll.bat,会提示"无法analysis mgls64.dll文件",因此将win64文件夹中的mgls64.dll 的文件属性中的只读去掉,之后重新运行patch_dll.bat后,等一会成功生成license文件,保存到安装目录下txt格式,取名为LICENSE.TXT。
4、添加系统环境变量(我的电脑-属性-高级-环境变量),添加变量名LM_LICENSE_FILE,变量值(安装目录,例如:
D:\modeltech64-10.1c\LICENSE.TXT),确定即可,之后modelsim 就能正常打开了。
modelsim的详细使用方法
一、简介ModelSim是一款由美国Mentor Graphics公司推出的集成电路仿真软件,广泛应用于数字电路和系统设计领域。
它提供了强大的仿真和验证功能,能够帮助工程师快速高效地进行电路设计与验证工作。
本文将详细介绍ModelSim的使用方法,以帮助读者更好地掌握这一工具的操作技巧。
二、安装与配置1. 下载ModelSim安装包,并解压到指定目录2. 打开终端,进入ModelSim安装目录,执行安装命令3. 安装完成后,配置环境变量,以便在任何目录下都能够调用ModelSim程序4. 打开ModelSim,进行软件注册和授权,确保软件可以正常运行三、工程创建与管理1. 新建工程:在ModelSim主界面点击“File” -> “New” -> “Project”,输入工程名称和存储路径,选择工程类型和目标设备,点击“OK”完成工程创建2. 添加文件:在工程目录下右键点击“Add Existing”,选择要添加的源文件,点击“OK”完成文件添加3. 管理工程:在ModelSim中可以方便地对工程进行管理,包括文件的增删改查以及工程参数的设置等四、代码编写与编辑1. 在ModelSim中支持Verilog、VHDL等多种硬件描述语言的编写和编辑2. 在ModelSim主界面点击“File” -> “New” -> “File”,选择要新建的文件类型和存储位置,输入文件名称,点击“OK”完成文件创建3. 在编辑器中进行代码编写,支持代码高亮、自动缩进、语法检查等功能4. 保存代码并进行语法检查,确保代码符合规范,没有错误五、仿真与调试1. 编译工程:在ModelSim中进行代码编译,生成仿真所需的可执行文件2. 设置仿真参数:在“Simulation”菜单下选择“S tart Simulation”,设置仿真时钟周期、输入信号等参数3. 运行仿真:点击“Run”按钮,ModelSim将开始对设计进行仿真,同时显示波形图和仿真结果4. 调试设计:在仿真过程中,可以通过波形图和仿真控制面板对设计进行调试,查找并解决可能存在的逻辑错误六、波形查看与分析1. 查看波形:在仿真过程中,ModelSim会生成相应的波形文件,用户可以通过“Wave”菜单查看波形并进行波形分析2. 波形操作:支持波形的放大、缩小、平移、选中等操作,方便用户对波形进行分析和观察3. 波形保存:用户可以将波形结果保存为图片或文本文件,以便日后查阅和分析七、性能优化与验证1. 时序优化:在设计仿真过程中,可以通过观察波形和性能分析结果,对设计进行优化,提高设计的时序性能2. 逻辑验证:通过对仿真的结果进行逻辑验证,确保设计符合预期的逻辑功能3. 时序验证:对设计的时序性能进行验证,确保信号传输和时钟同步的正确性八、项目输出与文档整理1. 输出结果:在仿真和验证完成后,可以将仿真结果、波形图和性能分析结果输出为文本文件或图片,方便后续的文档整理和报告撰写2. 结果分析:对仿真结果和验证结果进行详细的分析,确定设计的性能和功能是否符合设计要求3. 文档整理:根据仿真和验证结果,进行文档整理和报告撰写,为后续的设计和优化工作提供参考九、总结与展望ModelSim作为一款专业的集成电路仿真软件,具有着强大的功能和丰富的特性,可以帮助工程师进行电路设计与验证工作。
Modelsim仿真方法总结
Modelsim仿真方法总结第一篇:Modelsim 仿真方法总结Modelsim 仿真方法总结Modeling 仿真工具是Model公司开发的。
它支持Verilog、VHDL以及他们的混合仿真。
Modelsim各版本的使用方法大体一致,Modelsim仿真主要分为前仿真和后仿真。
下面来具体介绍modelsim 的仿真方法,涉及quartus-modelsim联合(使用)仿真的差异会特别提示。
前仿真与后仿真说明 1.1 前仿真前仿真也称为功能仿真、行为仿真。
旨在验证电路的功能是否符合设计要求,其特点是不考虑延迟(包括门延迟与线延迟),主要验证电路与理想情况是否一致。
前仿真需要用到RTL级代码(由源代码经过综合后产生)与T estbench。
1.2)后仿真后仿真也称为时序仿真或者布局布线仿真。
是指在电路已经映射到特定的工艺环境以后,综合考虑门延迟与线延迟的影响,验证电路在一定的时序条件下是否存在时序违规以及能否满足设计构想的过程。
需要用到的文件是——从布局布线结果中抽象出来的门级网表、testbench和后缀名为sdo或者sdf的标准时延文件。
注:扩展名为sdo和sdf的标准时延文件包含门延迟与实际布线延迟,能较好的反应芯片的实际工作情况。
二)modelsim仿真主要有以下几个步骤:(1)建立库并映射库到物理目录;(2)编译源代码(包括Testbench);(3)执行仿真;解释:①库:modelsim中有两类仿真库。
一种是工作库,默认名为work;另一种是资源库。
Work库中存放当前工程下所有已经编译过的文件,所以编译前一定要建立一个work库。
资源库存放work库中已经编译文件所要调用的资源,这样的资源可能有很多,它们被存放在不同的资源库内。
(例如要想对综合在cyclone芯片中的设计做后仿真,就需要有一个名为cyclone_ver的资源库。
)映射库用于将已经预编译好的文件所在目录映射为一个modelsim可识别的库。
Quartus II 与ModelSim入门
图 2-6 的○ 1 处为选定的仿真软件 ModelSim;○ 2 处为使用的 HDL 语言 VHDL;○ 3 处为点击 Next 按钮。
图 2-6 工程创建向导第 5 页
图 2-7 工程创建向导最后一页
工程创建成功以后,Project Navigator 窗口如图 2-8 所示。
图 2-8 Project Navigator 窗口
第1章
Quartus II 与 ModelSim 安装
1.1 Quartus II 安装与破解
1. 双击 开始 Quartus II 13.1 的安装,如图 1-1 所示。
图 1-1 开始安装 Quartus II 13.1 向导第 1 个页面
2.
点击 Next 按钮,选择接受协议,如图 1-2 所示。
图 1-24 运行命令窗口
图 1-25 运行破解
3)
在 win7 桌面, 右击计算机-属性-高级系统设置-高级-环境变量-用户变量-新建一个 用 户 变 量 , 变 量 名 为 LM_LICENSE_FILE , 变 量 值 为 license 放 置 的 目 录 , 如 C:\modeltech64_10.2c\license.dat,具体如图 1-26 至图 1-28 所示。
图 1-16 选定器件库
1.2 ModelSim 的安装和破解
1. 双击 开始进行 ModeliSim 的安装,弹出窗口如所示。
图 1-17 ModelSim 安装开始界面
2.
点击 Next 按钮,设定安装目录,如图 1-18 所示。继续点击 Next 按钮(如图 1-19 所 示) ,点击 Agree 按钮同意安装协议进行安装。
图 1-2 安装 Quartus II 13.1 向导第 2 个页面
Modelsim10.2c安装教程
Modelsim 10.2c 安装教程下载安装包如下:双击modelsim-win64-10.2c-se.exe ,出现如下窗口:Target Selectionurger for your kn EtallationSelect 9 target kjtnticn;Riidy选择安装目录,注意安装目录不要取中文名,选好安装目录后点击agree开始安装:生成桌面快捷方式,点击着出现如下选项,点击yes■ Mentor Graphics Install□ X Would you lik■电the Modelsim executable directory added to your path? This is useful forrunning batch compiles and simulations from DOS boxes.出现如下画面,点击no,Men?o- G-a^hir-i IrstallCo yo “ h比曲m HH sprurity key attarh^d tu the parallel pinrt or II5B port c n_yc u r computer to er as le littnsirg?If '/DU get \our lie ense from ar other machi ne or UEE an 9them«t Jddressfor \y I censt;, you snoul-.:thoose *TJc H. If /ou Jo 卜左*d FCA' a ecurit>Hke / it PULI res a 5oft'Adriver.Choose r>\&s h to instaJl th«drivers lor the harduir^ k«yf :h=t can be usedwith Modelsim-6^. We recommend you fhc-Yes" if yCtu ar* noi ria n th aryour drixsr is up-w -dat^It you choose "Y电you will to RtSl ARI your computer jTtyr LDrri^jIelinyMoiieKim-64 imtallatiun.NOTES:IF you a r电adv h c Idar Jn /ere installQC on this corrp uttr tn电n TheInEtallattan pragram will unin stall chas« and will autormtlcally inrail ihen«w diivf ri dFitr you reboot youir co inpul*r.It prompted T plcuc select the default responses.安装完成■ MmtDr G~3jliics Ir-stall —O X [;r L sLznifliModelsim-64 Setup CompleteSetup for Modelsinn-G^ is mow complete!然后开始破解。
modelsim安装+使用说明
1 Modelsim安装步骤此处以Modelsim Se v6.0的安装为例说明具体的安装步骤以及应该注意的事项:Modelsim Se v6.0的安装:运行Modelsim Se v6.0目录中的的自解压缩安装文件,如下图1-1所示:图1-1 自解压缩安装文件等待解压缩完成之后,会出现Modelsim Se v6.0的安装选择画面,如图1-2所示,图1-2 选择安装模式选择FULL Product 安装模式,出现如图3所示的安装界面,如图1-3所示图1-3选择next,然受选择yes,出现如图1-4所示的安装路径选择对话框,图1-4 选择安装路径这里我选择默认安装路径c:\Modeltech_6.0。
连续两次next出现如图1-5所示的文件安装界面图1-5安装文件复制完成后会弹出如图1-6所示的对话框图1-6 选择“是(Y)”出现图1-7图1-7 和图1-8图1-8 点击“确定”安装完成后,出现图1-9图1-9 点击“是(Y)”后在桌面建立快捷方式。
紧接着出现图1-10图1-10 添加桌面快捷方式选择默认。
图1-11 完成安装完成安装,如图1-11所示。
2 配置Modelsim Se v6.0的的环境变量:先找到的安装文件夹的crack目录下的keygen.exe文件,然后运行。
如图2-1所示:图2-1点击“Generate”会出现图2-2。
图2-2这表示License文件生成成功。
将生成的License文件license.dat复制到Modelsim Se v6.0安装目录(我这里的安装目录是C:\Modeltech_6.0)。
然后打开计算机属性对话框的“高级”选项卡,找到用户环境变量LM_LICENSE_FILE,然后编辑,输入变量值C:\Modeltech_6.0\license.dat(也就是License文件的物理路径)如图2-3所示:图2-3 Modelsim v6.0 用户环境变量设置编辑完成之后,确定。
Matlab_QuartusII_ModelSim安装破解指导
– 主程序:90sp2_quartus_free.exe – NIOS II:90_nios2eds_windows.exe – NIOS II补丁:90sp2_nios2eds_windows.exe – 90sp2_dsp_builder_windows.exe(首先安装matlab)
• 安装步骤
– 选择之前安装的Matlab2008a的目录
4. 90sp2_dsp_builder_windows.exe
• 安装步骤
– 选择默认的安装选项
4. 90sp2_dsp_builder_windows.exe
• 安装步骤
– 选择Next
4. 90sp2_dsp_builder_windows.exe
90sp2_quartus_free.exe
• 安装步骤
– 等待安装
90sp2_quartus_free.exe
• 安装步骤
– 若要求安装通用串行总线控制器,选择仍然继 续
90sp2_quartus_free.exe
• 安装步骤
– 选择是否在桌面建立快捷方式
90sp2_quartus_free.exe
Mathworks Matlab
• 安装步骤
– 选择安装目录,推荐目录中不要有中文和空格 – 若C盘空间不足,也可以安装至其他磁盘分区 – 确认弹出的新建目录警告条
Mathworks Matlab
• 安装步骤
– 选择Install
Mathworks Matlab
• 安装步骤
– 等待安装
Mathworks Matlab
• 破解步骤
– 启动ModelSim6_5_KeyGen目录下的MakeLic.bat ,生成一个licensefile.dat文件 – 启动MentorKG.exe生成一个LICENSE.TXT – 将此LICENSE.TXT改成LICENSE.DAT并拷贝至安装 目录下C:\modeltech_6.5c – 选择该文件,Continue
QuartusII13.0与Modelsim SE安装与破解说明
QuartusII13.0与Modelsim SE安装与破解说明FPGA开发使用到的常用软件为QuartusII和Modelsim,QuartusII为设计软件,Modelsim为仿真软件。
本人目前使用的QuartusII为13.0版本,使用的Modelsim为SE的10.4版本的。
(SE版本什么意思,在以后的学习中大家一起慢慢补充)学习FPGA的前提是要安装这两款软件,需要软件的可以联系我。
两款软件大体分为三个步骤:1.安装。
2.破解。
3.关联两个软件。
本人使用的电脑为Win7的64位系统,32位系统装步骤大同小异。
现将这两款软件的安装及破解详细说明如下。
一、QuartusII13.0安装与破解(1)安装QuartusII13.0安装的过程完全按照它的提示来,本人建议不要安装在C盘,毕竟C盘内存宝贵。
我的QuartusII13.0安装的路径为E:\soft\quartus13.0,路径不可出现汉字。
(2)破解QuartusII13.01.首先将破解器复制到E:\soft\quartus13.0\quartus\bin64的目录下。
2.然后运行破解器,点“应用”直接进行破解,生成的License保存在E:\soft\quartus13.0\quartus\bin64的目录下。
3.接着,打开软件,找到软件的NIC的ID,复制其中的一个ID。
4.然后,找到第2步保存的License,使用记事本打开,将其中的XXXXXXXXXXXX全部替换成第3步的ID,保存即可。
再次打开软件,点“Tools”,找到“License setup”,会弹出一个对话框。
如图1.1所示。
选择好License file的路径,然后会出现使用的年限,如图1.2所示,即说明破解成功。
file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image002.jpg图1.1 License setup对话框file:///C:\Users\luqili\AppData\Local\Temp\msohtmlclip1\01\clip_image001.jpg图1.2 破解成功示意图二、Modelsim SE安装与破解(1)安装Modelsim SE安装的过程按照它的提示进行,我的安装路径为E:\soft\modelsim10.4an,安装路径不可出现汉字。
modelsim教程
ModelSim教程简介ModelSim是一种常用的硬件描述语言仿真器,它广泛应用于数字电路设计、验证和测试。
本教程将介绍ModelSim的基本知识和使用方法,帮助读者快速上手ModelSim,并顺利完成数字电路仿真和验证工作。
目录1.安装ModelSim2.创建工程3.设计代码编写4.编译和仿真5.波形查看和分析6.仿真高级特性7.总结安装 ModelSim首先,您需要下载和安装 ModelSim。
您可以从 Mentor Graphics(ModelSim的开发商)的官方网站上找到适用于您的操作系统版本的安装程序。
下载完成后,按照安装向导的提示进行安装,并确保将安装目录添加到系统的环境变量中。
创建工程在开始使用 ModelSim之前,您需要创建一个工程,用于组织和管理您的设计代码。
以下是创建ModelSim工程的基本步骤:1.打开 ModelSim,并选择“File -> New -> Project”。
2.在弹出的对话框中,选择要保存工程的目录和工程名称,并点击“Next”。
3.在下一步中,您可以选择是否添加已有文件到工程中,或者选择直接创建新的设计文件。
完成后,点击“Next”。
4.在下一步中,您可以选择激活某些特性,如代码覆盖率、时序分析等。
完成后,点击“Next”。
5.最后,点击“Finish”来完成工程的创建。
设计代码编写在 ModelSim中,您可以使用HDL(硬件描述语言)编写您的设计代码。
常用的HDL语言包括VHDL和Verilog。
以下是一个简单的VHDL代码示例:-- Counter.vhdentity Counter isport (clk :in std_logic;rst :in std_logic;count :out unsigned(7downto0));end entity Counter;architecture Behavioral of Counter issignal internal_count :unsigned(7downto0); beginprocess(clk, rst)beginif rst ='1'theninternal_count <= (others=>'0');elsif rising_edge(clk) thenif internal_count =8theninternal_count <= (others=>'0');elseinternal_count <= internal_count +1;end if;end if;end process;count <= internal_count;end architecture Behavioral;编译和仿真编译和仿真是在ModelSim中运行设计代码并生成波形的关键步骤。
ModelSim快速入门
ModelSim快速⼊门ModelSim是业界最优秀的HDL仿真⼯具,在电路设计、FPGA开发中经常使⽤。
笔者在学习FPGA中联合仿真再次⽤到ModelSim时发现课程中学到的已经遗忘过半,所以决定整理成⽂,作为⽇后参考,⽔平有限望批评指正。
ModelSim软件包含多个版本:SE、PE、LE和OEM版本,其中SE版本功能最多仿真速度最快,OEM定制版如:Altera的AE版和Xilinx的XE版功能有⼀定限制。
对于学习⽽⾔可以选择任何版本。
本⽂是第⼀篇,主要介绍了ModelSim的安装和快速⼊门。
软件以ModelSim SE-64 10.4为例,使⽤Verilog HDL语⾔,操作系统为Windows 7 sp1 Ultimate x64。
软件安装ModelSim的安装⽐较简单,1)双击打开软件:等待解压完成后显⽰欢迎界⾯,单击Next:2)选择安装路径。
这⾥注意,很多EDA⼯具安装路径不要出现中⽂和空格,单击Next:3)接受许可条款,单击Agree:4)开始安装,在此过程中会弹出是否创建快捷⽅式和加⼊环境变量对话框,Yes即可,进度条完成后:5)出现install hardware security key driver,选择No:6)安装完成。
此时启动软件会提⽰License错误。
软件激活激活程序通常会随软件⼀起打包,⽅法各不相同,通常只需⽣成License并设置环境变量指向License。
为⽀持正版在此不提供破解⽅法。
启动软件正确激活后可以启动软件,勾选don't show this dialog again并关闭欢迎界⾯后,如图:软件已经包含了⼏个库,在此我们新建⾃⼰的work库,⽤来包含所有编译的设计单元:File > new > Library: 默认使⽤work 即可:快速⼊门ModelSim可以使⽤命令⾏或图形界⾯操作,在此⽰例性的新建⼀个⼯程并完成仿真,认识软件布局和常⽤操作。
Modelsim使用常见问题及解决办法
Modelsim使用常见问题及解决办法在ISE启动modelsim时遇到问题1。
我在ISE中启动modelsim时出现了下面的错误Loading work.tb_ic1_func# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "xilinxcorelib_ver".# No such file or directory. (errno = ENOENT)# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver". # No such file or directory. (errno = ENOENT)# Loading work.fifoctlr_ic_v2# ** Error: (vsim-19) Failed to access library 'xilinxcorelib_ver' at "xilinxcorelib_ver".# No such file or directory. (errno = ENOENT)# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver". # No such file or directory. (errno = ENOENT)# ** Error: (vsim-3033) fifoctlr_ic_v2.v(126): Instantiation of 'BUFGP' failed. The design unit was not found.是什么原因?“点到仿真模式,在source里面选中你建立工程选择的芯片,然后看Processes,点开,有个compile HDL simulation library,运行一下就OK了”2.ISE用modelsim仿真提示:# ** Error: (vish-4014) No objects found matching '*'.结果仿真时老是报错:# ** Error: (vish-4014) No objects found matching '*'.# Error in macro ./test_top_tb.fdo line 10# (vish-4014) No objects found matching '*'.# while executing# "add wave *"解决办法,改modelsim.ini文件中的一个参数:VoptFlow = 0# ** Error: (vish-4014) No objects found matching 'XXXX'.在之前的设计里有一个信号XX, 并且保持在wave.do文件里现在这个信号在你的设计你被去掉了,modelsim仍然调用旧的wave.do,找不到对应的信号XX,就报错误这个错误可以忽略3.当对IP核修改后,用Modelsim仿真显示:No entity is bound for inst 或CE is not in the entity。
Modelsim 10.2c安装教程
Modelsim 10.2c安装教程下载安装包如下:
双击modelsim-win64-10.2c-se.exe,出现如下窗口:
选择安装目录,注意安装目录不要取中文名,选好安装目录后点击agree开始安装:
生成桌面快捷方式,点击
yes
接着出现如下选项,点击yes
出现如下画面,点击no,
安装完成
然后开始破解。
解压modelsim 10.2 crack.rar
先取消安装目录的win32或win64文件mgls64.dll 的只读属性
1. 把MentorKG.exe和patch_dll.bat一起拷贝到modelsim安装目录的win32或win64下(modelsim一定要在这个目录下)
2. 运行patch_dll.bat,产生license后,放到任意目录下
3. 点击“我的电脑”或“此电脑”,打开系统属性,
点击高级系统设置,环境变量,新建一个用户变量
设置环境变量名MGLS_LICENSE_FILE,变量值为license放置的目录。
点击应用,完成破解。
双击,即可打开软件:
.
如有侵权请联系告知删除,感谢你们的配合!
精品。
ModelSim SE简明操作指南
ModelSimSE简明操作指南(1)ModelSimSE简明操作指南第一章介??绍本指南是为ModelSim5.5f版本编写的,该版本运行于UNIX和MicrosoftWindows95/98/Me/NT/2000的操作系统环境中。
本指南覆盖了VHDL和Verilog模拟仿真,但是你在学习过程中会发现对于单纯的HDL设计工作而言,它是一个很有用的参考。
ModelSim具备强大的模拟仿真功能,在设计、编译、仿真、测试、调试开发过程中,有一整套工具供你使用,而且操作起来极其灵活,可以通过菜单、快捷键和命令行的方式进行工作。
ModelSim的窗口管理界面让用户使用起来很方面,它能很好的与操作系统环境协调工作。
ModelSim的一个很显着的特点就是它具备命令行的操作方式,类似于一个shell有很多操作指令供你使用,给人的感觉就像是工作在Unix环境下,这种命令行操作方式是基于Tcl/Tk的,其功能相当强大,这需要在以后的实际应用中慢慢体会。
ModelSim的功能侧重于编译、仿真,不能指定编译的器件,不具有编程下载能力。
不象Synplify和MAX+PLUSII可以在编译前选择器件。
而且ModelSim在时序仿真时无法编辑输入波形,不象MAX+PLUSII可以自行设置输入波形,仿真后自动产生输出波形,而是需要在源文件中就确定输入,如编写测试台程序来完成初始化、模块输入的工作,或者通过外部宏文件提供激励。
这样才可以看到仿真模块的时序波形图。
另外对于Synplify来说,也只具有编译能力,但是比MAX+PLUSII可编译的verilog的内容要多,所以常常可以现在Synplify下编译,生成编译文件再送到MAX+PLUSII中使用。
ModelSim还具有分析代码的能力,可以看出不同的代码段消耗资源的情况,从而可以对代码进行改善,以提高其效率。
第二章??ModelSim的主要结构ModelSim的主窗口(Mainwindow)包括菜单栏、工具栏、工作区和命令行操作区。
MODELSIM使用常见问题及解决办法
MODELSIM使用常见问题及解决办法Modelsim使用常见问题及解决办法在ISE启动modelsim时遇到问题1。
我在ISE中启动modelsim时出现了下面的错误Loading work.tb_ic1_func#**Error:(vsim-19)Failed to access library'xilinxcorelib_ver'at "xilinxcorelib_ver".#No such file or directory.(errno=ENOENT)#**Error:(vsim-19)Failed to access library'unisims_ver'at"unisims_ver". #No such file or directory.(errno=ENOENT)#Loading work.fifoctlr_ic_v2#**Error:(vsim-19)Failed to access library'xilinxcorelib_ver'at "xilinxcorelib_ver".#No such file or directory.(errno=ENOENT)#**Error:(vsim-19)Failed to access library'unisims_ver'at"unisims_ver". #No such file or directory.(errno=ENOENT)#**Error:(vsim-3033)fifoctlr_ic_v2.v(126):Instantiationof'BUFGP'failed. The design unit was not found.是什么原因?“点到仿真模式,在source里面选中你建立工程选择的芯片,然后看Processes,点开,有个compile HDL simulation library,运行一下就OK了”2.ISE用modelsim仿真提示:#**Error:(vish-4014)No objects found matching'*'.结果仿真时老是报错:#**Error:(vish-4014)No objects found matching'*'.#Error in macro./test_top_tb.fdo line10#(vish-4014)No objects found matching'*'.#while executing#"add wave*"解决办法,改modelsim.ini文件中的一个参数:VoptFlow=0#**Error:(vish-4014)No objects found matching'XXXX'.在之前的设计里有一个信号XX,并且保持在wave.do文件里现在这个信号在你的设计你被去掉了,modelsim仍然调用旧的wave.do,找不到对应的信号XX,就报错误这个错误可以忽略3.当对IP核修改后,用Modelsim仿真显示:No entity is bound for inst或CE is not in the entity。