计算机组成原理 实验六 总线数据传输控制实验

合集下载

计算机组成原理实验报告

计算机组成原理实验报告

计算机组成原理实验报告实验目的,通过本次实验,深入了解计算机组成原理的相关知识,掌握计算机硬件的基本组成和工作原理。

实验一,逻辑门电路实验。

在本次实验中,我们学习了逻辑门电路的基本原理和实现方法。

逻辑门电路是计算机中最基本的组成部分,通过逻辑门电路可以实现各种逻辑运算,如与门、或门、非门等。

在实验中,我们通过搭建逻辑门电路并进行实际操作,深入理解了逻辑门的工作原理和逻辑运算的实现过程。

实验二,寄存器和计数器实验。

在本次实验中,我们学习了寄存器和计数器的原理和应用。

寄存器是计算机中用于存储数据的重要部件,而计数器则用于实现计数功能。

通过实验操作,我们深入了解了寄存器和计数器的内部结构和工作原理,掌握了它们在计算机中的应用方法。

实验三,存储器实验。

在实验三中,我们学习了存储器的原理和分类,了解了不同类型的存储器在计算机中的作用和应用。

通过实验操作,我们进一步加深了对存储器的认识,掌握了存储器的读写操作和数据传输原理。

实验四,指令系统实验。

在本次实验中,我们学习了计算机的指令系统,了解了指令的格式和执行过程。

通过实验操作,我们掌握了指令的编写和执行方法,加深了对指令系统的理解和应用。

实验五,CPU实验。

在实验五中,我们深入了解了计算机的中央处理器(CPU)的工作原理和结构。

通过实验操作,我们学习了CPU的各个部件的功能和相互之间的协作关系,掌握了CPU的工作过程和运行原理。

实验六,总线实验。

在本次实验中,我们学习了计算机的总线结构和工作原理。

通过实验操作,我们了解了总线的分类和各种总线的功能,掌握了总线的数据传输方式和时序控制方法。

结论:通过本次实验,我们深入了解了计算机组成原理的相关知识,掌握了计算机硬件的基本组成和工作原理。

通过实验操作,我们加深了对逻辑门电路、寄存器、计数器、存储器、指令系统、CPU和总线的理解,为进一步学习和研究计算机组成原理奠定了坚实的基础。

希望通过不断的实践和学习,能够更深入地理解和应用计算机组成原理的知识。

计算机总线实验报告总结

计算机总线实验报告总结

计算机总线实验报告总结总线控制实验报告实验四:总线控制实验报告一、实验目的:1.理解总线的概念及其特性;2.掌握总线传输控制特性;二、实验设备TDN-CM+计算机组成原理教学实验系统一台,排线若干。

三、实验内容1. 总线的基本概念总线是多个系统内部之间进行数据传输的公共通路,是构成计算机系统的骨架。

借助总线连接,计算机在系统各个部件之间实现传送地址、数据和控制信息的操作。

因此,所谓总线就是能为多个部件服务的一组公共信息线。

1( 实验原理实验所用总线传输实验框图如图7-1所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。

这些设备都需要有三态输出控制,按照传输要求恰当有序的控制它们,就可实现信息传输。

实验要求根据挂在总线上的几个基本部件,设计一个简单的流程:?输入设备将一个数打入R0寄存器;?输入设备将另一个数打入地址寄存器;?将R0寄存器中的数写入到当前的存储器中;?将当前地址存储器中的数用LED数码管显示。

1( 实验步骤?按照图7-2实验接线图进行接线。

?具体操作步骤如下:初始状态应设为:关闭所有的三态门(SW-B=1,CE=1,R0-B=1),其他控制信号为: LDAR=0,LDR0=0,W/R(RAM)=1,W/R(LED)=1第一组数据:(R0)=11H,(AR)=21H LED显示的数据为:第二组数据:(R0)=A5H,(AR)=22H LED显示的数据为:第三组数据:(R0)=FCH,(AR)=23H LED显示的数据为:注意事项 :1、所有导线使用前须测通断;2、不允许带电接线;3、“0”——亮“1”——灭;,、注意连接线的颜色、数据的高低位。

四、实验总结实验过程出现了很多问题,只有在实验前做好充分准备,才能减少在实验过程中遇到的难题。

实验主要是使我们理解总线的概念及其特性,并掌握总线传输控制特性,这对我们深入了解计算机组成原理这门课程更加有利。

篇二:总线基本实验报告实验三:总线基本实验报告组员:组号:21组时间:周二5、6节【实验目的】理解总线的概念及其特性.掌握总线传输和控制特性【实验设备】– TDN-CM+或TDN-CM++数学实验系统一台.–【实验原理】总线传输实验框图所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。

计算机组成原理——存储器和总线实验

计算机组成原理——存储器和总线实验

实验六存储器和总线实验一、实验目的熟悉存储器和总线组成的硬件电路。

二、实验要求按照实验步骤完成实验项目,利用存储器和总线传输数据三、实验内容实验原理图如下(省略图):(1)实验原理按照实验所用的半导体静态存储器电路图进行操作,该静态存储器由一片6116(2K x 8)构成,其数据线(D0-D7)已和数据总线(BUS-DISP UNIT)相连接,地址线由地址锁存器(74LS273)给出,该锁存器的输入已连接至数据总线。

地址A0-A7与地址总线相连,显示地址内容。

数据开关经一三态门(74LS245)已连接至数据总线,分时给出地址和数据。

因为地址寄存器为8位,接入6116的地址A7-A0,而高三位A8-A10本实验装置已接地,其容量为256字节。

6116有三根控制线:/CS(片选线)、OE(读线)、WE(写线)。

当片选有效(/CS=0)时,同时OE=0时,(WE=0)时进行读操作。

本实验中将OE引脚接地,在此情况下,当/CS=0、WE=1时进行写操作,/CS=0、WE=0时进行读操作,其写时间与T3脉冲宽度一致。

实验时T3脉冲由“单步”命令键产生,其他电平控制信号由二进制开关模拟,其中/CE(存储器片选信号)为低电平有效,WE为写/读(W/R)控制信号,当WE=0时进行读操作、当WE=1时为写操作。

(2)实验步骤1、控制信号连接:位于实验装置右侧边缘的RAM片选端(/CE)、写/读线、(WE)、地址锁存信号(LDAR)与位于实验装置左上方的控制信号(/CE、WE、LDAR)之间对应相连接。

位于实验装置左上方CTR-OUT 的控制信号(/SW-B)与左下方INPUT-UNIT(/SW-B)对应相连接。

具体信号连接:/CW,WE,LDAR,/SW-B2、完成上述连接,仔细检查无误后方可进入本实验。

在闪动上的“P.”状态下按动增址命令键,使LED显示自左向右第一位显示提示符“H”,表示本装置已进入手动单元实验状态。

总线数据传送控制实验总结

总线数据传送控制实验总结

总线数据传送控制实验总结
总线数据传送控制实验是一项主要着眼于电子器件与元器件之间的数据传送机制的实验。

该实验旨在通过针对同步总线和异步总线的控制信号与传输信息的实验,提高学生对于总线数据传输控制方面的认知。

而就本次实验所得出来的结论来看,我们可以总结如下几点:
1.总线传输控制方式
本实验主要考察了同步总线和异步总线的传输控制方式,通过实验对比我们可以得到,同步传输方式和异步传输方式都有其独特的控制信号和先后顺序。

此外,同步传输方式的机制能够更好地保证数据传输的准确性。

2.总线传输时序
除了掌握总线传输的控制方式,本实验还通过数据传输的时序响应来考察学生是否掌握了相应的知识。

在具体的实验中,通过所设定的时序响应实现了对于数据发送和接收的同步控制,学生能够通过实验和理论的结合来更好地掌握总线传输的时序知识。

3.总结
总的来说,总线数据传输控制是电子信息学科中非常重要的一类知识,具体实验操作和理论知识结合有助于学生更好地掌握总线传输控制的内容。

此外,如果能够结合实际场景进行分析、研究,不仅能够更好地理解和掌握相关知识,还能够提高学生的分析和解决问题的能力。

总线控制实验报告

总线控制实验报告

总线控制实验报告总线控制实验报告一、引言总线控制是计算机系统中非常重要的一部分,它负责连接各个部件,实现数据传输和通信。

在本次实验中,我们将学习总线控制的基本原理和实际应用,并通过实验验证其正确性和可靠性。

二、实验目的本次实验的主要目的是掌握总线控制的工作原理和实践操作,具体包括以下几个方面:1. 理解总线控制的概念和作用;2. 学习总线控制的基本原理和工作方式;3. 掌握总线控制的实验操作方法;4. 验证总线控制的正确性和可靠性。

三、实验原理总线控制是计算机系统中的一种重要的数据传输方式,它通过一组控制信号来实现各个部件之间的通信。

总线控制主要包括以下几个方面的内容:1. 总线的定义和分类:总线是计算机系统中连接各个部件的一种通信线路,根据传输方式的不同,可以分为并行总线和串行总线;2. 总线的工作方式:总线的工作方式主要包括三种,分别是单总线、多总线和分布式总线;3. 总线控制的基本原理:总线控制通过控制信号来实现数据的传输和通信,其中包括地址信号、数据信号和控制信号等;4. 总线控制的实际应用:总线控制在计算机系统中有广泛的应用,包括内存读写、外设读写、中断处理等。

四、实验过程1. 实验准备:根据实验要求,准备好实验所需的硬件和软件环境;2. 实验设置:根据实验要求,设置好总线控制的参数和配置;3. 实验操作:按照实验步骤,进行总线控制的实验操作;4. 实验结果:记录实验过程中的数据和结果;5. 实验分析:对实验结果进行分析和总结,验证总线控制的正确性和可靠性。

五、实验结果与分析通过实验操作和数据记录,我们得到了一系列的实验结果。

通过对实验结果的分析和对比,我们可以得出以下结论:1. 总线控制可以有效地实现各个部件之间的数据传输和通信;2. 总线控制的工作原理和实际应用是相符的,验证了总线控制的正确性和可靠性;3. 实验结果的稳定性和一致性较好,说明总线控制的性能良好。

六、实验总结通过本次实验,我们深入学习了总线控制的基本原理和实际应用,掌握了总线控制的实验操作方法,并通过实验验证了总线控制的正确性和可靠性。

组成原理实验

组成原理实验

实验一运算器实验1、实验内容利用两片74LS181以并、串形式构成8位字长的ALU。

运算器的输出经过一个三态门和数据总线相连,运算器的两个数据输入端分别由两个锁存器锁存,锁存器的输入连至数据总线。

数据开关用来给出参与运算的数据,运算结果经过数据线,通过显示灯显示。

内容:1)掌握简单运算器的数据传输方式2)验证运算功能发生器及进位控制的组合功能2、实验目的及要求掌握运算器的数据传送通路;验证运算功能发生器(74LS181)的组合功能。

要求:完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。

3、实验重点运算器的数据传送通路;运算功能发生器(74LS181)的组合功能。

4、实验难点运算器的数据传送通路;运算功能发生器(74LS181)的组合功能。

5、实验时间分配及进度安排3学时6、主要实验环节的组织教师提示实验原理,学生自己设计实验。

实验2.存储器实验内容:1)向静态随机存储器写入数据2)读出数据显示要求:掌握静态随机存储器工作特性及数据的读写方法实验3.数据通路组成实验内容:连接运算器实验模块和存储器实验模块要求:将运算器实验模块和存储器实验模块两部分电路连接在一起,掌握数据通路组成。

实验4.微程序控制器实验内容:1)时序信号产生器、微程序控制电路2)微指令设计格式、微程序编制要求:掌握时序产生器的组成原理、掌握微程序控制器的组成原理、掌握微程序的编制、观察微程序的运行。

实验5.模型机CPU组成与指令周期实验内容:1)连接微程序控制器模拟、运算器模块、存储器模块2)指令周期实验要求:将微程序控制器模拟,运算器模块,存储器模块组合在一起,联成一台简单的计算机,并进行指令周期实验。

实验6.基本模型机设计与实现内容:1)定义机器指令2)编写相应微程序,上机调试要求:在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机,编写相应的微程序,上机调试掌握整机概念。

总线控制实验实验报告

总线控制实验实验报告

总线控制实验实验报告总线控制实验实验报告引言总线控制是计算机科学领域中的一个重要概念,它指的是计算机内部各个组件之间进行通信和数据传输的方式。

在本次实验中,我们将通过实际操作来深入了解总线控制的原理和实现方法。

实验目的本次实验的主要目的是掌握总线控制的基本原理和实现方法。

通过搭建实验平台,我们将学习如何设置总线控制器、编写控制程序,并进行数据传输和通信测试。

实验步骤1. 实验准备在开始实验之前,我们需要准备一台计算机、一块开发板、一根数据线和一些其他必要的硬件设备。

确保所有设备都连接正确,并且软件环境已经配置完成。

2. 设置总线控制器首先,我们需要在开发板上设置总线控制器。

根据实验要求,我们可以选择不同的总线控制器类型和参数设置。

在设置过程中,我们需要注意总线的带宽和传输速率,以确保数据传输的稳定性和效率。

3. 编写控制程序接下来,我们需要编写控制程序来实现数据传输和通信功能。

通过控制程序,我们可以指定数据的读取和写入操作,以及数据的传输方式和目的地。

在编写控制程序时,我们需要考虑数据的格式和编码方式,以及错误处理和异常情况的处理方法。

4. 数据传输和通信测试完成控制程序的编写后,我们可以进行数据传输和通信测试。

通过向特定的地址写入数据,然后从相应的地址读取数据,我们可以验证总线控制器的正确性和可靠性。

同时,我们还可以测试数据传输的速度和稳定性,以及通信功能的正常性。

实验结果与分析通过实验,我们可以得到一些有关总线控制的重要结果和分析。

首先,我们可以通过数据传输和通信测试的结果来评估总线控制器的性能和稳定性。

如果数据传输速度较慢或者通信功能无法正常工作,可能是由于总线控制器设置不当或者控制程序编写错误导致的。

其次,我们还可以通过实验结果来了解总线控制的原理和实现方法。

通过观察数据的传输和通信过程,我们可以深入了解总线控制的工作原理和数据传输的过程。

实验总结总线控制是计算机科学领域中的一个重要概念,它在计算机内部的各个组件之间起着关键的作用。

计算机组成原理实验报告说明

计算机组成原理实验报告说明

实验一运算器组成实验一、实验目的1、掌握运算器的组成及工作原理;2、了解4位函数运算器74LS181的组合功能,熟悉运算器执行算术和逻辑操作的具体实现过程;3、验证带进位控制的运算器功能。

二、实验设备1、EL-JY系列计算机组成及系统结构实验系统一套2、排线若干。

三、工作原理:算术逻辑单元ALU是运算器的核心。

集成电路74LS181是4位运算器,四片74LS181以并/串形式构成16位运算器。

它可以对两个16位二进制数进行多种算术或逻辑运算,74LS181 有高电平和低电平两种工作方式,高电平方式采用原码输入输出,低电平方式采用反码输入输出,这里采用高电平方式。

三态门74LS244作为输出缓冲器由ALU-G信号控制,ALU-G 为“0”时,三态门开通,此时其输出等于其输入;ALU-G 为“1”时,三态门关闭,此时其输出呈高阻。

四片74LS273作为两个16数据暂存器,其控制信号分别为LDR1和LDR2,当LDR1和LDR2 为高电平有效时,在T4脉冲的前沿,总线上的数据被送入暂存器保存。

四、实验内容:验证74LS181运算器的逻辑运算功能和算术运算功能。

五、实验步骤1、按照实验指导说明书连接硬件系统;2、启动实验软件,打开实验课题菜单,选中实验课题打开实验课题参数对话窗口:1)、在数据总线上输入有效数据,按"Ldr1",数据送入暂存器1;2)、在数据总线上输入有效数据,按"Ldr2",数据送入暂存器2;3)、在S3...Ar上输入有效数据组合,按"ALU功能选择端",运算器按规定进行运算,运算结果送入数据缓冲器;4)、按"ALU_G",运算结果送入数据总线。

5)、执行完后,按"回放",可对已执行的过程回看。

6)、回放结束后,按"继续"(继续按钮在点击回放后出现),进行下次数据输入。

计算机组成原理的实验报告

计算机组成原理的实验报告

计算机组成原理的实验报告一、实验目的本次实验的主要目的是深入理解计算机组成原理中的关键概念和组件,通过实际操作和观察,增强对计算机硬件系统的认识和掌握能力。

具体包括:1、了解计算机内部各部件的工作原理和相互关系。

2、熟悉计算机指令的执行流程和数据的传输方式。

3、掌握计算机存储系统的组织和管理方法。

4、培养分析和解决计算机硬件相关问题的能力。

二、实验设备本次实验使用的设备包括计算机、逻辑分析仪、示波器以及相关的实验软件和工具。

三、实验内容1、运算器实验进行了简单的算术运算和逻辑运算,如加法、减法、与、或等操作。

观察运算结果在寄存器中的存储和变化情况。

2、控制器实验模拟了指令的取指、译码和执行过程。

分析不同指令对计算机状态的影响。

3、存储系统实验研究了内存的读写操作和地址映射方式。

考察了缓存的工作原理和命中率的计算。

4、总线实验观察数据在总线上的传输过程和时序。

分析总线竞争和仲裁的机制。

四、实验步骤1、运算器实验步骤连接实验设备,将运算器模块与计算机主机相连。

打开实验软件,设置运算类型和操作数。

启动运算,通过逻辑分析仪观察运算过程中的信号变化。

记录运算结果,并与预期结果进行比较。

2、控制器实验步骤连接控制器模块到计算机。

输入指令序列,使用示波器监测控制信号的产生和变化。

分析指令执行过程中各个阶段的状态转换。

3、存储系统实验步骤搭建存储系统实验电路。

进行内存读写操作,改变地址和数据,观察存储单元的内容变化。

分析缓存的替换策略和命中率的影响因素。

4、总线实验步骤连接总线模块,配置总线参数。

多个设备同时发送数据,观察总线的仲裁过程。

测量数据传输的时序和带宽。

五、实验结果与分析1、运算器实验结果加法、减法等运算结果准确,符合预期。

逻辑运算的结果也正确无误。

观察到在运算过程中,寄存器的值按照预定的规则进行更新。

分析:运算器的功能正常,能够准确执行各种运算操作,其内部的电路和逻辑设计合理。

2、控制器实验结果指令能够正确取指、译码和执行,控制信号的产生和时序符合指令的要求。

计算机组成原理存储器读写和总线控制实验实验报告

计算机组成原理存储器读写和总线控制实验实验报告

信息与管理科学学院计算机科学与技术实验报告课程名称:计算机组成原理实验名称:存储器读写和总线控制实验姓名:班级:指导教师:学号:实验室:组成原理实验室日期: 2013-11-22一、实验目的1、掌握半导体静态随机存储器RAM的特性和使用方法。

2、掌握地址和数据在计算机总线的传送关系。

3、了解运算器和存储器如何协同工作。

二、实验环境EL-JY-II型计算机组成原理实验系统一套,排线若干。

三、实验内容学习静态RAM的存储方式,往RAM的任意地址里存放数据,然后读出并检查结果是否正确。

四、实验操作过程开关控制操作方式实验注:为了避免总线冲突,首先将控制开关电路的所有开关拨到输出高电平“1”状态,所有对应的指示灯亮。

本实验中所有控制开关拨动,相应指示灯亮代表高电平“1”,指示灯灭代表低电平“0”。

连线时应注意:对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。

1、按图3-1接线图接线:图3-1 实验三开关实验接线2、拨动清零开关CLR,使其指示灯显示状态为亮—灭—亮。

3、往存储器写数据:以往存储器的(FF ) 地址单元写入数据“AABB ”为例,操作过程如下:4、按上述步骤按表3-2所列地址写入相应的数据表3-25、从存储器里读数据:以从存储器的(FF ) 地址单元读出数据“AABB ”为例,操作过程如下:(操作) (显示) (操作) (显示) (操作) (显6、按上述步骤读出表3-2数据,验证其正确性。

五、实验结果及结论通过按照实验的要求以及具体步骤,对数据进行了严格的检验,结果是正确的,具体数据如图所示:六、心得体会通过本次试验掌握半导体静态随机存储器RAM的特性和使用方法,掌握地址和数据在计算机总线的传送关系,了解运算器和存储器如何协同工作。

加强了对课本教材的理解,增加了自己的动手实践能力,为以后的学习做了很好的铺垫,通过与队友的通力合作,我更深刻的体会到了团队力量的重要性。

计算机组成原理存储器读写和总线控制实验实验报告

计算机组成原理存储器读写和总线控制实验实验报告

千里之行,始于足下。

计算机组成原理存储器读写和总线控制实验实验报告计算机组成原理存储器读写和总线控制实验实验报告摘要:本实验主要通过使用计算机系统的存储器读写和总线控制实验来深入了解计算机组成原理中存储器的工作原理和总线控制的相关知识。

实验过程中,我们通过搭建实验平台、编写程序,并通过数据传输和总线控制,实现了存储器的数据读写功能。

通过实际操作和观察实验结果,对存储器读写和总线控制有了更深刻的理解。

1. 引言计算机组成原理是计算机科学与技术专业的重要课程之一,它涵盖了计算机硬件的各个方面,包括处理器、存储器、总线等。

存储器是计算机中储存数据的地方,而总线则负责处理信息传输。

了解存储器读写和总线控制的原理对于理解计算机工作方式至关重要。

2. 实验目的本实验的主要目的是通过实际操作了解存储器读写和总线控制的原理,并掌握相应的实验技能。

具体来说,我们要搭建实验平台、编写程序,并通过数据传输和总线控制,实现存储器的数据读写功能。

3. 实验内容第1页/共3页锲而不舍,金石可镂。

3.1 实验平台搭建首先,我们需要搭建实验平台。

根据实验要求,我们使用了一个基于Xilinx FPGA的开发板,并连接上需要的外设设备。

3.2 编写程序接下来,我们需要编写程序,以完成存储器读写和总线控制的功能。

我们使用了Verilog语言,通过编写相应的模块和逻辑电路,实现了存储器的数据读写。

3.3 数据传输和总线控制在编写程序后,我们开始进行数据传输和总线控制。

通过向存储器发送读写指令,并传输相应的数据,我们能够实现存储器数据的读取和写入。

同时,通过总线的控制,我们能够实现数据在各个设备之间的传输。

4. 实验步骤1. 搭建实验平台;2. 编写程序;3. 数据传输和总线控制。

5. 实验结果与分析在实验过程中,我们成功搭建了实验平台,并完成了程序的编写。

通过数据传输和总线控制,我们能够准确读取和写入存储器中的数据。

通过观察实验结果,我们发现存储器读写和总线控制的效果良好,能够满足我们的需求。

计算机组成原理实验(接线、实验步骤)

计算机组成原理实验(接线、实验步骤)

实验一运算器[实验目的]1.掌握算术逻辑运算加、减、乘、与的工作原理;2.熟悉简单运算器的数据传送通路;3.验证实验台运算器的8位加、减、与、直通功能;4.验证实验台4位乘4位功能。

[接线]功能开关:DB=0 DZ=0 DP=1 IR/DBUS=DBUS接线:LRW:GND(接地)IAR-BUS# 、M1、M2、RS-BUS#:接+5V控制开关:K0:SW-BUS# K1:ALU-BUSK2:S0 K3:S1 K4:S2K5:LDDR1 K6:LDDR2[实验步骤]一、(81)H与(82)H运算1.K0=0:SW开关与数据总线接通K1=0:ALU输出与数据总线断开2.开电源,按CLR#复位3.置数(81)H:在SW7—SW0输入10000001→LDDR2=1,LDDR1=0→按QD:数据送DR2置数(82)H:在SW7—SW0输入10000010→LDDR2=0,LDDR1=1→按QD:数据送DR1 4.K0=1:SW开关与数据总线断开K1=1:ALU输出与数据总线接通5. S2S1S0=010:运算器做加法(观察结果在显示灯的显示与进位结果C的显示)6.改变S2S1S0的值,对同一组数做不同的运算,观察显示灯的结果。

二、乘法、减法、直通等运算1.K0K1=002.按CLR#复位3.分别给DR1和DR2置数4.K0K1=115. S2S1S0取不同的值,执行不同的运算[思考]M1、M2控制信号的作用是什么?运算器运算类型选择表选择操作S2 S1 S00 0 0 A&B0 0 1 A&A(直通)0 1 0 A+B0 1 1 A-B1 0 0 A(低位)ΧB(低位)完成以下表格ALU-BUS SW-BUS# 存储器内容S2S1S0 DBUS C输入时:计算时:DR1:01100011DR2:10110100(与)DR1:10110100DR2:01100011(直通)DR1:01100011DR2:01100011(加)DR1:01001100DR2:10110011(减)DR1:11111111DR2:11111111(乘)实验二双端口存储器[实验目的]1.了解双端口存储器的读写;2.了解双端口存储器的读写并行读写及产生冲突的情况。

计算机组成原理实验报告(系统总线和具有基本输入输出功能的总线接口实验)

计算机组成原理实验报告(系统总线和具有基本输入输出功能的总线接口实验)

计算机组成原理实验报告(系统总线和具有基本输入输出功能的总线接口实验)池州学院数学计算机科学系实验报告专业:计算机科学与技术班级:实验课程:计算机组成原理姓名:学号:实验室:硬件实验室同组同学:实验时间: 20xx年5月29日指导教师签字:成绩:系统总线和具有基本输入输出功能的总线接口实验一实验目的和要求1.理解总线的概念及其特性。

2.掌握控制总线的功能和应用。

二实验环境PC机一台,TD-CMA 实验系统一套三实验步骤及实验记录按图连接电路首先将时序与操作台单元的开关KK1、KK3置为‘运行’档,开关KK2置为‘单拍’档,按动CON单元的总清按钮CLR,并执行下述操作。

① 对MEM进行读操作(WR=0,RD=1,IOM=0),此时E0灭,表示存储器读功能信号有效。

② 对MEM进行写操作(WR=1,RD=0,IOM=0),连续按动开关ST,观察扩展单元数据指示灯,指示灯显示为T3时刻时,E1灭,表示存储器写功能信号有效。

③ 对I/O进行读操作(WR=0,RD=1,IOM=1),此时E2灭,表示I/O读功能信号有效。

④ 对I/O进行写操作(WR=1,RD=0,IOM=1),连续按动开关ST,观察扩展单元数据指示灯,指示灯显示为T3时刻时,E3灭,表示I/O写功能信号有效。

四实验结果与分析第二篇:计算机组成原理实验4.2_实验报告 1900字学生报告实验学院:软件学院专业:软件工程年级:2010级学号:24320102202460 学生姓名:高伟同组学生姓名:何建明实验课程名称:计算机组成原理实验实验名称:具有中断控制功能的总线接口实验指导教师:曾文华、蔡艺军、廖凌宇实验时间:2012.4.9 19:00--21:00 实验地点:漳州校区生化楼603 20xx年4月18日一、实验目的与要求1.掌握中断控制信号线的功能和应用2.掌握在系统总线上设计中断控制信号线的方法二、实验设备1、TD-CMA教学实验系统1台(通过USB串行接口与PC微机相连)2、PC微机1台三、实验原理为了实现中断控制,CPU 必须有一个中断使能寄存器,并且可以通过指令对该寄存器进行操作.设计下述中断使能寄存器,其原理如图 4-2-1 所示.其中 EI 为中断允许信号,CPU 开中断指令 STI 对其置 1,而 CPU 关中断指令CLI 对其置0.每条指令执行完时,若允许中断,CPU 给出开中断使能标志 STI,打开中断使能寄存器,EI 有效.EI 再和外部给出的中断请求信号一起参与指令译码,使程序进入中断处理流程.本实验要求设计的系统总线具备有类 X86 的中断功能,当外部中断请求有效,CPU 允许响应中断,在当前指令执行完时,CPU 将响应中断.当CPU 响应中断时,将会向8259 发送两个连续的INTA 信号,请注意,8259 是在接收到第一个 INTA 信号后锁住向 CPU 的中断请求信号INTR(高电平有效) ,并且在第二个 INTA 信号到达后将其变为低电平(自动 EOI 方式) ,所以, 中断请求信号 IR0 应该维持一段时间,直到 CPU 发送出第一个 INTA 信号,这才是一个有效的中断请求.8259 在收到第二个 INTA 信号后,就会将中断向量号发送到数据总线,CPU 读取中断向量号,并转入相应的中断处理程序中.在读取中断向量时,需要从数据总线向CPU 内总线传送数据.所以需要设计数据缓冲控制逻辑,在INTA 信号有效时,允许数据从数据总线流向 CPU 内总线.其原理图如图 4-2-2 所示.其中 RD 为 CPU 从外部读取数据的控制信号.1图 4-2-1 中断使能寄存器原理图图 4-2-2 数据缓冲控制原理图在控制总线部分表现为当 CPU 开中断允许信号 STI 有效,关中断允许信号 CLI 无效时,中断标志 EI 有效,当 CPU 开中断允许信号 STI 无效,关中断允许信号 CLI 有效时,中断标志 EI 无效.EI 无效时,外部的中断请求信号不能发送给 CPU.四、实验步骤1、实验接线:图实验接线图(时序与操作台单元)KK+-------INT(控制单元)(控制单元)INTA’-----------K5(CON单元)(控制单元)CLI---------------K6(CON单元)(控制单元)STI---------------K7(CON单元)2、实验步骤:(1)对总线进行置中断操作(K6=1,K7=0),观察控制总线部分的中断允许指示灯EI,此时EI亮,表示允许响应外部中断。

计算机组成原理实验六总线数据传输控制实验

计算机组成原理实验六总线数据传输控制实验

实验六总线数据传输控制实验一、实验目的理解总线的概念,了解总线的作用和特性。

掌握用总线传输数据的控制原理和方法。

二、实验原理74LS374芯片的逻辑功能了解通用寄存器部件的逻辑功能三、实验过程连线连接实验一(输入、输出实验)的全部连线。

按逻辑原理图连接寄存器单元(REG UNIT)的B-R0,B-R1正脉冲信号。

连接寄存器单元(REG UNIT)的R0-B、R1-B到KA、KB,用KA、KB控制R0-B、R1-B这两个低电平有效信号连接运算器单元(ALU UNIT)S1、S0、M、299-B。

按逻辑原理图连接时钟单元(CLOCK UNIT)与微程序控制单元(MAIN CONTROL UNIT)的T4。

数据送R0操作过程首先把手动控制开关单元(MANUAL UNIT)的控制开关全部拨到无效状态。

在输入数据开关拨一个实验数据(如“00001001”,即16进制的09H),把I/O-R把实验数据送总线。

把B-R0信号拨动一下,即实现“1-0-1”,产生一个正脉冲,实验数据由总线送0号通用寄存器(R0)保存。

把输入数据开关上的实验数据拨回到全0,拨上I/O-R控制开关,切断输入数据与总线的联系,这时总线显示灯为“11111111”,处于悬空状态。

数据从R0读出送移位寄存器操作过程拨下KA控制开关,产生R0-B 信号,把实验数据从R0送总线,总线显示灯为“00001001”,显示R0寄存器状态把299-B ,S1,S0,M拨成1111,确保时钟单元(CLOCK UNIT)的STEP/RUN开关处于“STEP”状态,按一下“START”键发T4脉冲,把R0中的数据通过总线送入74LS299移位寄存器中。

拨上KA控制开关,使R0-B 信号无效,切断R0输出数据与总线的联系,总线显示灯为“11111111”,处于悬空状态。

数据经移位寄存器移位后送R1的操作过程把299-B ,S!,S0,M拨成0101,按下“START”键发T4脉冲,对74LS299移位寄存器中的数据进行一次带进位的循环右移,这时从总线上可看到移位后的实验数据。

总线数据传输实验报告

总线数据传输实验报告

总线数据传输实验报告通信六班 2009【实验目的简述】通过实验使学生了解数据在计算机中是怎样传输的,熟悉总线的概念、寄存器之间数据交换的方法、三态缓冲器等,进一步掌握Quartus 软件的使用,为下一步实验打下良好基础。

【实验电路图】电路图如下:这次的实验图中涉及到了一些新器件,如74244三态缓冲器、芯片74374、AND2,还有一个bidir,这是一个输入\输出引脚,与input、output同属于pin。

开始做实验的时候就是没注意到,用input代替的bidir,导致实验结果出不来。

【实验原理(总结概述)】总线是指一组进行互连和传输信息(指令、数据和地址)的信号线。

其基本特征是不允许挂在总线上的部件同时有一个以上的部件向总线发出信息;但是,允许挂在总线上的多个部件同时从总线上接收信息。

因此,为保证传输信息的正确性、唯一性,输出到总线上的部件须通过“总线电路”向总线发信息。

本次试验中,共有三个寄存器(R3、R2、R1)用于存储和接收数据,还有两个缓冲器,其中一个用于向总线输送数据,另一个连接R3和总线,用于显示R3中的数据。

【实验步骤及波型说明】新建工程-建立Block Diagram File-按照电路图连好电路-保存、编译-建立Vector Waveform File-插入引脚-设置波形-保存、仿真。

仿真后的波形如下:下面对波形进行详细说明:K是输入到总线的数据;SW_BUS、R3_BUS、R2_BUS、R1_BUS均是低电平有效,LDDR[1]、LDDR[2]、LDDR[3]均是高电平有效。

当SW_BUS有效时,数据(K)由缓冲器写入总线,无效时,数据无法写入总线,输出为高阻态;当R3_BUS有效时,寄存器R3把数据写入总线,LDDR[3]有效时,寄存器R3从总线中读入数据并储存起来,R2、R1也是同样的道理。

简单地说,向总线写入数据,必须SW_BUS有效;R3从总线上读数据,必须LDDR[3]有效(R2、R1同理);R3向总线写入数据时,必须R3_BUS有效(R2、R1同理)。

计算机组成原理实验exp_6(总线控制器实验)

计算机组成原理实验exp_6(总线控制器实验)

计算机科学与技术学院组成原理与系统结构实验报告实验名称:总线控制器实验指导老师:黄铁姓名:谢湘君学号:21专业班级:网络工程10101班实验时间: 10月30号实验2 总线控制器实验一实验目的(1) 理解总线的概念及其特性。

(2) 掌握总线传输控制特性。

二实验设备TDN-CM++计算机组成原理教学实验系统一,排线若干。

三实验内容1) 总线的基本概念总线是多个系统部件之间进行数据传送的公共通路,是构成计算机系统的骨架。

借助总线连接,计算机在系统各部件之间实现传送地址、数据和控制信息的操作。

因此,所谓总线就是指能为多个功能部件服务的一组公用信息线。

2) 实验原理实验所用总线传输实验框图如图17所示,它将几种不同的设备挂至总线上,有存储器、输入设备、输出设备、寄存器。

这些设备都需要有三态输出控制,按照传输要求恰当有序的控制它们,就可进行总线信息传输。

3) 实验要求根据挂在总线上的几个基本部件,设计一个简单的流程:(1) 输入设备将一个数打入R0寄存器。

(2) 输入设备将另一个数打入地址寄存器。

(3) 将R0寄存器中的数写入到当前地址的存储器中。

(4) 将当前地址的存储器中的数用LED数码管显示。

四实验步骤(1)按照图18实验接线图进行连线。

(2)具体操作步骤图示如下:初始状态应设为:关闭所有三态门(SW-B=1,CS=1,R0-B=1,LED-B=1),其他控制信号为LDAR=0,LDR0=0,W/R(RAM)=1,W/R(LED)=1。

五记录实验结果,完成实验报告输入一系列数值,然后相加后,最后显示结果为AC68 ,则表示成功。

总线数据传输实验

总线数据传输实验

实验报告一、实验设计方案实验框图:实验原理:总线是指一组进行互连和传输信息(指令、数据和地址)的信号线。

总线的基本特性是不允许挂在总线上的多个部件同时向总线发出信息;但是,允许挂在总线上的多个部件同时从总线上接受信息。

二、功能验证电路图:波形图操作步骤:0-100ns 没有数据输入100-200ns K=E1,SW_BUS有效LDDR1有效,总线上写入E1,R1从总线读入E1200-300ns K=D2,SW_BUS有效LDDR2有效,总线上写入D2,R2从总线读入D2300-400ns RI_BUS有效LDDR3有效,R1数据写入总线,R3从总线读入数据E1400-500ns R2_BUS有效LDDR1有效,R2数据写入总线,R1从总线读入数据D2500-600ns R3_BUS有效LDDR2有效,R3数据写入总线,LE显示R3数据,R2从总线读入数据E1600-700ns R1_BUS有效LDDR3有效,R1数据写入总线,R3从总线读入数据D2700-800ns R3_BUS有效,LE显示R3中存储的数据D2800-900ns R2_BUS有效LDDR3有效,R2数据写入总线,R3从总线读入数据E1900-1000ns R3_BUS有效,LE显示R3中存储的数据E1数据记录仿真结论:仿真结果与预期结果一致,波形仿真逻辑功能验证正确,。

实验日志2012年9月13日Q1. 不知道如何将R1,R2的数据进行交换A1. 再接入一个R3,使其与原本电路图中的R3并联,从总线获取值,并将值返回到总线。

2012年9月13日Q1. 总线数据传输的基本特性是什么?A1总线的基本特性是不允许挂在总线上的多个部件同时向总线发出信息,但是,允许挂在总线上的多个部件同时从总线上接受信息。

.Q2从74374和74244内部电路结构图上说明它们的逻辑功能。

A2 逻辑图如下Q3.实验电路中的BIDIR端口的用途是什么?A2是双向数据总线Q4举例说明画电路图中连线bus line和node line的区别。

总线数据传输实验

总线数据传输实验

总线数据传输实验实验报告⼀、实验设计⽅案实验框图实验原理1、SW_BUS低电平有效,此时将K[7..0]的数据送到总线,通过L[7..0]双向数据端⼝输出显⽰总线的数据,使⽤的芯⽚是74244⼋位单向三态缓冲器;2、R3_BUS、R2_BUS、R1_BUS低电平有效,其功能是将数据要传⼊的寄存器打开,若相应的lddr为1(⾼电平有效),将数据传⼊相应的寄存器;3、总线数据传输时,控制信号中只能有⼀个有效,寄存器的端⼝送⾄数据总线,所以每个BUS接⼝对应每个R寄存器的显⽰;4、通过读写的双重作⽤,实现R1和R2的数据交换。

表达式Reg3←Reg1;Reg1←Reg2;Reg2←Reg3⽅法⼀:vhdl代码library ieee;use ieee.std_logic_1164.all;entity exp_bus isport(clk:in std_logic;sw_bus,r1_bus,r2_bus,r3_bus:in std_logic;k:in std_logic_vector(7 downto 0);lddr:in std_logic_vector(3 downto 1);l:inout std_logic_vector(7 downto 0));end exp_bus;architecture rtl of exp_bus issignal r1,r2,r3,bus_Reg:std_logic_vector(7 downto 0);beginldreg:process(clk,lddr,bus_reg)beginif clk'event and clk='1' thenif lddr(1)='1'then r1<=bus_reg;elsif lddr(2)='1'then r2<=bus_reg;elsif lddr(3)='1'then r3<=bus_reg;end if;end if;end process;bus_reg<=k when (sw_bus='0'and r1_bus='1'and r2_bus='1'and r3_bus='1')else r1 when (sw_bus='1'and r1_bus='0'and r2_bus='1'and r3_bus='1')elser2 when (sw_bus='1'and r1_bus='1'and r2_bus='0'and r3_bus='1')elser3 when (sw_bus='1'and r1_bus='1'and r2_bus='1'and r3_bus='0')else(others=>'0');l<=bus_reg when (sw_bus='0' or r1_bus='0' or r2_bus='0' or r3_bus='0')else (others=>'Z');end rtl;⽅法⼆:bdf实验原理图⼆、功能验证波形图(图):1 2 3 4 5 6 7 8 9 10操作步骤(对应波形图列表说明每步状态、有效控制信号)①设置初值,swr3r2r1_bus=1111,lddr=000,数据初始置k为00,总线输出为⾼阻态;②设置数据k=E1,swr3r2r1_bus=0111,lddr=001,将数据E1传⾄总线,通过L[7..0]显⽰总线数据,数据E1存⼊r1并显⽰出来;③swr3r2r1_bus=1110,lddr3=100,将R1的数据E1写⼊总线并存⼊R3,总线显⽰从R1传⾄总线的数据E1;④swr3r2r1_bus=1011,lddr=000,将R3的数据E1写⼊总线中;⑤设置数据k[7..0]=D2,swr3r2r1_bus=0111,lddr2=010,将数据D2传⾄总线并存⼊R2,通过L[7..0]显⽰总线数据D2;⑥swr3r2r1_bus=1101,lddr=100,将R2的数据D2写⼊总线并存⼊R3,总线显⽰从R2传⾄总线的数据D2;⑦swr3r2r1_bus=1011,lddr=000,将R3的数据D2写⼊总线中;⑧swr3r2r1_bus=1110,lddr=100,将R1的数据E1传⾄总线并存⼊R3中,实现R1数据传输到R3中,并显⽰数据E1;⑨swr3r2r1_bus=1101,lddr=001,将R2的数据D2传⾄总线并存⼊R1中,实现R2数据传输到R1中,并显⽰数据D2;⑩swr3r2r1_bus=1011,lddr=010,将R3的数据E1传⾄总线并存⼊R2中,实现R3数据传输到R2中,并显⽰数据E1,交换完毕。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验六总线数据传输控制实验
一、实验目的
1.理解总线的概念,了解总线的作用和特性。

2.掌握用总线传输数据的控制原理和方法。

二、实验原理
1.74LS374芯片的逻辑功能
2.了解通用寄存器部件的逻辑功能
三、实验过程
1.连线
1)连接实验一(输入、输出实验)的全部连线。

2)按逻辑原理图连接寄存器单元(REG UNIT)的B-R0,B-R1正脉冲信号。

3)连接寄存器单元(REG UNIT)的R0-B、R1-B到KA、KB,用KA、KB控制R0-B、
R1-B这两个低电平有效信号
4)连接运算器单元(ALU UNIT)S1、S0、M、299-B。

5)按逻辑原理图连接时钟单元(CLOCK UNIT)与微程序控制单元(MAIN CONTROL
UNIT)的T4。

2.数据送R0操作过程
1)首先把手动控制开关单元(MANUAL UNIT)的控制开关全部拨到无效状态。

2)在输入数据开关拨一个实验数据(如“00001001”,即16进制的09H),把I/O-R
把实验数据送总线。

3)把B-R0信号拨动一下,即实现“1-0-1”,产生一个正脉冲,实验数据由总
线送0号通用寄存器(R0)保存。

4)把输入数据开关上的实验数据拨回到全0,拨上I/O-R控制开关,切断输入
数据与总线的联系,这时总线显示灯为“11111111”,处于悬空状态。

3.数据从R0读出送移位寄存器操作过程
1)拨下KA控制开关,产生R0-B 信号,把实验数据从R0送总线,总线显示灯
为“00001001”,显示R0寄存器状态
2)把299-B ,S1,S0,M拨成1111,确保时钟单元(CLOCK UNIT)的STEP/RUN
开关处于“STEP”状态,按一下“START”键发T4脉冲,把R0中的数据通过总线送入74LS299移位寄存器中。

3)拨上KA控制开关,使R0-B 信号无效,切断R0输出数据与总线的联系,总
线显示灯为“11111111”,处于悬空状态。

4.数据经移位寄存器移位后送R1的操作过程
1)把299-B ,S!,S0,M拨成0101,按下“START”键发T4脉冲,对74LS299移位寄存器中的数据进行一次带进位的循环右移,这时从总线上可看到移位后的实验数据。

2)把B-R1信号拨动一下,即实现“0—1—0”,产生一个正脉冲,实验数据通过总线送1号通用寄存器(R1)保存。

3)拨上299-B 控制开关,切断74LS299移位寄存器与总线的联系。

5.数据由R1送输出部件的操作过程
1)拨下KB控制开关错误!未找到引用源。

信号,把实验数据从R1送总线。

2)把错误!未找到引用源。

控制信号拨动一下,即实现“1-0-1”,产生一个负
脉冲,实验数据从1号通用寄存器(R1)送输出电路显示。

3)拨上KB控制开关,使错误!未找到引用源。

信号无效,切断R1输出数据与
总线的联系,总线显示灯为“11111111”,处于悬空状态。

四、结果与总结
1)变换不同的实验数据和不同的移位方式重复上述实验,把实验数据填入表
2-14中
2)用存储器代替通用寄存器重构总线传输逻辑,设计实验连线,记录操作过程。

比较存储器芯片6116输入、输出通道合用形式与74LS374输入输出通道分离形式的区别。

3)结合上面的问题,总结出总线的特性和使用方法,以及解决问题的方法和过
程,把观察到的现象和对书本上原理的理解写入实验报告。

相关文档
最新文档