数电课程设计-病房呼叫系统
病房呼叫系统课程设计实验报告
安徽科技大学数字电子技术课程设计题目: 病房呼叫系统**: **专业: 电子科学与技术班级: 112学号: **********指导教师:2013年06月15日安徽科技大学理学院病房呼叫系统一课程设计题目与实习目的课程设计题目:病房呼叫系统实习目的:1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。
2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。
3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力。
二任务和要求要求:1.用1~5个开关模拟5个病房的呼叫输入信号,5号优先级最高;1~5优先级依次升高;2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。
三总体方案的选择病房呼叫系统整体思路为:●LED指示灯显示病房模块●数码管优先显示重病房模块●蜂鸣器报警5秒模块首先由病人按下呼叫器开关,即逻辑数据开关,(1)进入第一模块,通过LED指示灯显示病人呼叫的病房,(2)进入第二模块,传输到一个编码器进行编码,我这里选用的是74LS148,8线—3线优先编码器,其低电平为有效输入;然后再进行译码输出,我选用的是74LS48,为共阴极7段译码/驱动器,74LS148输出为反码输出,遂在74LS48前加上反向器进行输入;再用共阴极七段数码管进行输出。
(3)进入第三模块,通过一个与非门对信号进行处理,连接周期T为10秒,占空比为50%的方波发生器与信号一同通过与门,控制输出信号可以持续5秒,使蜂鸣器呼叫5秒。
流程图如下:与非门蜂鸣器与门四单元电路的设计1.设计所使用的元件及工具:(1)L ED指示灯模块LED显示灯----------------------------------------- 5个;保护电阻R=500Ω------------------------------------5个;(2)数码管显示模块编码器74LS148D-------------------------------------1个;反相器74LS04D--------------------------------------3个;译码器74LS48D--------------------------------------1个;(3)蜂鸣器呼叫模块与非门74LS30D -------------------------------------1个;方波发生器 ---------------------------------------1个;与门7408D -----------------------------------------1个;蜂鸣器 --------------------------------------------1个;另外:逻辑双掷开关5个;电源VCC、接地GND、导线若干。
数电课程设计病房呼叫系统
设计题目:病房呼叫系统一、题目及要求某医院有一、二、三、四号病室,每个房间装有呼叫按钮,同时在护士值班室内有相应的显示电路,即能看到是哪个病室呼叫。
现要求:一号病室的呼叫优先权最高,四号病室最低,试用74LS148和门电路设计满足上述要求的组合逻辑电路,并要求设计出显示单元电路,即显示病室房间号。
二、功能描述:1、呼叫功能:四个病室每个病室都装有一个呼叫按钮,当病室有需要时,可以通过呼叫按钮进行呼叫2、显示功能:在护士值班室内有相应的显示电路,可以看到是哪个病室在呼叫3、优先权:四个病室呼叫具有优先权,其中一号病室优先权最高,四号病室优先权最低。
只要有一号病室呼叫时,不管其他三个病室是否呼叫,显示电路均显示一号病室;一号病室不呼叫时,只要有二号病室呼叫,不管三号、四号是否呼叫,显示电路均显示二号病室……依次类推。
优先权的功能是由74LS148芯片实现的。
二、方案设计:1.总体设计思路(含电路原理框图):设计思路:以四号病室呼叫为例:当只有四号病室呼叫时,要使显示单元电路显示“4”,则74LS47芯片二进制输入DCBA应为0100,即74LS148芯片输出A2A1A0为100(其中74LS47的D端可以由74LS148的GS端来控制),由74LS148真值表可知,其输入信号01234567应为***01111,即四号病室呼叫按钮应该接在74LS148输入端的“3”管脚。
同理,三号、二号、一号病室呼叫按钮应分别接在74LS148输入端的“4”、“5”、“6”管脚,:“7”输入端应始终接高电平。
而当四个病室都不呼叫时,数码管应不显示数字,此时DCBA为1111,D 由GS控制,即GS、A0、A1、A2都为高电平,要达到这样的要求,须使74LS148的“012”均接高电平。
为保证芯片正常工作,74LS148的E1、GND均接地,74LS47的LT’、BI\RBO’、RBI’接高电平,GND接低电平。
电路的原理框图如下图所示:工作情况:四个病室都不呼叫:四个病室同时呼叫:二、三、四号病室同时呼叫:三、四号同时呼叫:只有四号病室呼叫:(其他情况不再一一列出)三、总结:(电路设计中发现的问题、学到的知识或参考文献等)在本次课程设计的过程中,我也遇到了很多问题,特别是在芯片的使用方面,第一次使用芯片,对芯片的功能和使用方法等都不是很熟悉,各个管脚的作用也不明白,于是在管脚的使用和连接上用了很多的时间,通过本次课程设计,我也学到了很多知识,加强了自己的动手和思考能力,对相关数字电子技术知识加深了了解和运用:1、学习了EWB电子仿真软件的使用,体会到了此软件在电子仿真中的强大功能2、通过对病房呼叫系统的设计,掌握了通过看芯片真值表合理使用和联接芯片各个管脚的的方法,加强了自己的思考问题和解决问题的能力,为以后进行相关的电路设计积累了很多宝贵的经验3、进一步加深了对数电知识的理解和运用附:芯片真值表:芯片74LS148的真值表如下:由真值表可知,该芯片低电平输入有效。
数电课程设计病房呼叫系统
《数字电子技巧》之五兆芳芳创作课程设计陈述专业学生姓名班级学号指导教师完成日期信息工程学院题目:病房呼唤系统一、设计目的本课程设计是学习完“数字电子技巧”课程落后行的一次全面的综合训练,通过课程设计,更好地掌握操纵系统的原理及实现办法,加深对操纵系统根本理论和重要算法的理解,增强学生的动手能力.二、设计内容1)概述1.用1~5个开关模拟5个病房的呼唤输入信号,1号优先级最高;1~5优先级依次下降;2.用一个数码管显示呼唤信号的号码;没信号呼唤时显示0;又多个信号呼唤时,显示优先级最高的呼唤号(其它呼唤号用指示灯显示);3.凡是有呼唤收回5秒的呼唤声;4.对低优先级的呼唤进行存储,处理完高优先级的呼唤,再进行低优先级呼唤的处理2)设计原理临床求助呼唤(监护)是传送临床信息的重要手段,病房呼唤系统是病人请求值班医生或护士进行诊断和护理的紧急呼唤东西,可将病人的请求快速传送给值班医生或护士,并在值班室的监控中心电脑上留下准确完整的记实,是提高医院和病室护理水平的必备设备之一.呼唤系统的优劣直接关系到病员的安危,历来受到各大医院的普遍重视.它要求实时、准确、可靠、简便可行.按照设计要求,我们将设计分为几个模块来设计,辨别为:指示灯显示模块,优先显示模块,呼唤模块.3)设计进程将病房呼唤信号输入到发光二极管中,使发光二极管发光.如图(2):图(2)指示灯显示模块由设计要求,数码管要显示优先级最高的病房的呼唤信号,所以我们须得对病房呼唤信号进行选优.出于设计考虑,优先级最高为5,然后为4,依次到 1.如图(3)所示:图(3)优先显示模块此电路由模拟开关、优先编码器74LS148,译码器74LS48、非门74LS04和数码管等组成.模拟开关初始状态为全高电平.将模拟开关的所有输入端,EI接高电平Vcc.74LS148的输出A0、A1、A2辨别接入译码器74LS48的A,B,C;D接地.译码器74LS48的输出A---G对应接共阴数码管的a---g.非门74LS04当输入0输出1,当输入1输出0,以此来触动优先编码器74LS148任务.非门74LS04引脚和功效表如图(4)所示:图(4)非门74LS04引脚和功效表利用优先编码器74LS148使电路实现5为最高优先级然后为4,依次到1.优先编码器74LS148的引脚和功效表如图(5)所示:图(5)优先编码器74LS148的引脚和功效表译码器74LS48对输入信号进行译码,驱动数码管任务,并显示所编译的数字.译码器74LS48功效图如图(6)所示.图(6)译码器74LS48功效图数码显示器是用来显示数字、文字或符号的器件,现在已有多种不合类型的产品,普遍应用于各类数字设备中,目前数码显示器件正朝着小型、低功耗、平面化标的目的成长.数码的显示方法一般有三种:第一种是字形重叠式,它是将不合字符的电极重叠起来,要显示某字符,只须使相应的电极发亮便可,如辉光放电管、边鲜明示管等.第二种是分段式,数码是由散布在同一平面上若干段发光的笔划组成,如荧光数码管等.第三种是点阵式,它由一些按一定纪律排列的可发光的点阵所组成,利用光点的不合组合便可显示不合的数码.数字显示方法目前以分段式应用最普遍,图(6)暗示七段式数字显示器利用不合发光段组合方法,显示0~15等阿拉伯数字.在实际应用中,10~15其实不采取,而是用2位数字显示器进行显示.其七段数字显示器发光组合图如下所示,它是通过74LS48对其A.B.C.D.E.F.G段二极管的明暗进行控制,最后使得其显示出一定的数字模式,如图(7)所示:图(7)七段数字显示器显示数字数码管引脚如图(8)所示:图(8)数码管引脚利用74LS30 ,NE555集成时基电路组成脉冲启动型多谐振荡电路,产生一定频率信号驱动蜂鸣器呼唤.电路如图(9)所示:图(9)呼唤模块与非门74LS30当有一个输入0,其输出1,触动NE555任务.与非门74LS30引脚如图(10)所示图(10)74LS30引脚用NE555定时器接成施密特触发器,把施密特触发器的反相输出端经RC积分电路接回到它的输入端,就组成了多谐振荡器.NE555管脚如图(11)所示图(11)NE555管脚与结构图蜂鸣器是一种一体化结构的电子讯响器,采取直流电压供电,普遍应用于计较机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件.我们本次设计就是用这种设备来模拟,它的外形罕有的又以下几种,一般呈圆柱状,下面两针长短不合,长的就为正极,短为负极,我们只要在正负极加上正向电压其便可以收回声响.图(12)罕有蜂鸣器#include <reg51.h>#define uchar unsigned charsbit key5=P3^0;//定义按键位置sbit key4=P3^1;sbit key3=P3^2;sbit key2=P3^3;sbit key1=P3^4;sbit reset=P3^5;//复位sbit BEEP=P1^7;//定义蜂鸣器端口uchar flag1,i;void choice();void clean();void delay();void de();void ring();void main(){while(1){P3=0xff;reset=0;BEEP=0;flag1=0;choice();delay();clean();}}void choice()//确定病人{ while(reset!=1&&flag==0) {if(key1==0)de();if(key1==0){P0=0X86;flag=1;}}else if(key2==0){de();if(key2==0&&key1!=0){P0=0Xdb;flag=1;}}else if(key3==0){de();if(key3==0&&key1!=0&&key2!=0){P0=0Xcf; flag=1;}}else if(key4==0){de();if(key4==0&&key1!=0&&key2!=0&&key3!=0) {P0=0Xe6; flag=1;}else if(key5==0){de();if(key5==0&&key1!=0&&key2!=0&&key3!=0&&key4!= 0){P0=0Xe6; flag=1;}}}}void clean() //RESET 为高的时候复位{if(reset==1){BEEP=0;P0=0x3f;}}void delay() //RESET为低的时候延时{while(!reset){ring();}}void ring(){for(i=0;reset==0;i++)//喇叭发声的时间循环{de();BEEP=!BEEP;if(key1==0||key2==0||key3==0)//第二次呼唤{if( P0==0X86)P0=0X86;else if(P0==0Xdb&&key1==0)P0=0X86;else if(P0==0Xcf&&key1==0)P0=0X86;else if(P0==0Xcf&&key1==1&&key2==0)P0=0Xdb;else if(P0==0Xe6&&key1==0)P0=0X86;else if(P0==0Xe6&&key1==1&&key2==0)P0=0Xdb;elseif(P0==0Xe6&&key1==1&&key2==1&&key3==0)P0=0Xcf;}}}void de(){for(i=300;i>0;i--);}4)运行结果阐发.整体原理图:仿真图:原理图:指示灯显示模块优先显示模块和呼唤模块5)设计小结本次课程设计,我按要求设计出了所有要求的功效.能在数码管上按优先级显示1到5的病房号,蜂鸣器呼唤.当无输入时无显示.当有多路输入时,显示最初级病房号,多路的病房指示灯全亮.本设计是为在病人紧急需要时能很快进行救治的呼唤系统,增强医护人员更好的监护病人.此系统的优点特色在于可以设立呼唤优先等级而不是单纯的病人呼唤,这样避免在有多个病人同时呼唤时,医护人员不知道应该先救治哪个.利用本系统设立呼唤等级后,当有多个呼唤信号时,呼唤系统会自动先显示最初级此外的呼唤,使病情严重的病人得到优先救治.这样让所有病人都能够取得救治,这种由医院按照病人病情设立的具有呼唤等级的系统系统可有效控制因病人突病发情而医护人员却未能实时救治导致病人病情严重甚至死亡的严重结果.同时这种病情严重者优先的呼唤系统也体现了人性的美德和医院救人的精神.本次设计,其实不是一帆风顺的,期间有遇到一些问题:1、在设计初期我不知道当有多个病人同时收回信号呼唤时,当多信号同时呼唤时,对较低等级的呼唤信号不知道怎么处理,才干包管当初级此外信号被清零后,低级此外信号能实时的由系统自动呼出,而不再需要人为的控制输出的问题始终没有能找到适合有效的办法.故对于优先模块,没有依照1到5从高到低的优先级,而是做成1到5从低到高的优先级.因为事先没有注意课设要求.2、在最后的运行时,接上电源后蜂鸣器一直鸣叫,事后发明是制作PCB图时,555芯片与蜂鸣器的管脚接线画错了,更正后发明仍是无法解决这个问题.3、此次设计的电路仍是存在瑕疵的.此设计在布线方面很庞杂,使用了多根跳线,不克不及出现线路断路.一个星期的时间已经过来,通太小组全体成员的努力,对呼唤系统有了更深刻的认识,对于医护人员的护理提出了加倍人性化的理念,直接的支持了医院的医疗变革.病房呼唤系统包含电源电路的设计,呼唤电路的设计,语音电路的设计,显示电路的设计和其它电路的设计.这一实验能够实现语音播报,按键输入,液晶显示等功效.总之,信息时代的医院办理已经从传统的人管模式,向智能化,电子化,信息化,网络化的高科技办理模式的标的目的迅猛成长. “医疗呼唤系统”可实现对医院病房的智能化办理,可实现呼唤、监听、播送、求救报警、信息贮存、显示等功效.为医院和患者都带来便利.这次实验不单使我们掌握很多多少知识点,锻炼了我们的操纵能力,更体现的是我们小组的团体协作精神.经过本次设计,深化了对各类门电路、触发器以及芯片的认识,同时,逻辑思维以及信息检索的能力也得到了很大的提高.在本次论文设计进程中,陈益飞老师对该论文从选题,构想到最后定稿的各个环节赐与细心指引与教导,使我得以最终完成课程设计.在学习中,老师严谨的治学态度、丰厚渊博的知识、敏锐的学术思维、精益求精的任务态度以及侮人不倦的师者风采是我终生学习的楷模,导师们的精深精湛的造诣与严谨求实的治学精神,将永远鼓励着我.其中还得到众多老师的关怀支持和帮忙.在此,谨向老师们致以衷心的感激和崇高的敬意!论文的顺利完成,也离不开其它同学和朋友的关怀和帮忙.在整个的论文写作中,同学和朋友积极的帮忙我查资料和提供有利于论文写作的建议和意见,在他们的帮忙下,论文得以不竭的完善,最终帮忙我完整的写完了整个论文. 另外,要感激在大学期间所有传授我知识的老师,是你们的悉心教导使我有了良好的专业课知识,这也是论文得以完成的根本.再次感激陈益飞老师!参考文献[1] 欧阳星明. 数字逻辑(第四版)[A]. 华中科技大学出版社, 2009.[2] 阎石. 数字电子技巧根本(第5版).初等教育出版社,2009[3] 周巍,数字逻辑电路实验,电子科技大学出版社,2009。
数字电路课程设计——病房呼叫系统
东北大学秦皇岛分校电子信息系数字电路课程设计病房呼叫系统专业名称计算机科学与技术班级学号4080517学生姓名张树茂指导教师李志华设计时间2009.12.18~2009.12.26课程设计任务书专业:计算机科学与技术学号:4080517学生姓名(签名):设计题目:一、设计实验条件电路仿真实验室二、设计任务及要求1.课程设计任务《数字电路》课程设计是继“数字电路”课后开出的实践环节课程,其目的是训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路能力,设计建立在硬件和软件两个平台的基础上。
硬件平台是可编程逻辑器件,所选的器件可保存在一片芯片上设计出题目要求的数字电路。
软件平台是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT 公司)推出的Multisim10.0,通过课程设计,学生要掌握使用EDA(电子设计自动化)工具设计数字电路的方法,包括设计输入便宜软件仿真下载及硬件仿真等全过程。
2.课程设计要求通过课程设计学生应掌握设计所用硬件电路的工作原理,EDA软件的使用方法,能够熟练地利用EDA设计、调试数字电路系统,独立完成设计安装、测试全过程,具体要求:1.设计一个病房呼叫系统,该系统能根据不同的呼叫激励不同的振铃;2.设计一个显示电路用于显示病人的床号;3.该系统具有优先权设定功能;三、设计报告的内容1.总体方案选择设计电路第一步就是选择总体方案,就是根据提出的设计任务要求及性能指标,用具有一定功能的若干单元电路组成一个整体,来实现设计任务提出的各项要求和技术指标。
设计过程中,往往有多种方案可以选择,应针对任务要求,查阅资料,权衡个方案的优缺点,从中选优。
最开始我设计的方案一是使用74LS148N和74LS00N以及反相器输出结果,但是这种方案给显示带来了麻烦,所以舍弃了这种方案。
在方案一的基础上我保留了74LS148N和74LS00N的组合,然后使用七段译码显示器及其驱动芯片完善显示部分,形成了最终的方案:图1 最终方案总体电路图原理:1.1.通过电路系统可根据不同的呼叫激励不同的响铃,在此图中由七段共阴数码管输出不同的数字代替激励不同的响铃,实现此功能的电路部分为:图2 实现一个病房具有不同呼叫功能的电路图对于一个病房的不同呼叫,利用74LS148N优先编码器对呼叫信号(输入低电平)进行优先编码,把较为重要的呼叫内容与编号较大的引脚相连,当有呼叫信号(输入低电平)时,74LS148N会把优先级较高的信号编码成二进制信号输出。
数电病房呼叫系统设计
数电病房呼叫系统设计引言:病房呼叫系统在医疗机构中起到非常重要的作用,可以帮助患者及时呼叫医护人员,提供紧急救治和及时的医疗服务。
本文将介绍一个基于数字电路的病房呼叫系统的设计方案。
该系统可以实时监控病患的呼叫信号,并及时通知医护人员。
该设计方案包括硬件设计和软件设计两部分。
硬件设计:该呼叫系统主要由以下组成部分构成:呼叫器、控制器、显示屏、铃声报警器以及接收器。
1.呼叫器:呼叫器作为病患发出呼叫信号的设备,通常采用无线方式与系统其他部件通信。
当病患需要医护人员的帮助时,只需按下呼叫器上的按钮即可发出呼叫信号。
2.控制器:控制器是该系统的核心部件,负责接收呼叫器发出的信号,并触发相应的操作。
控制器通常包括一个微控制器单元(MCU),用于处理信号和控制其他硬件设备。
3.显示屏:显示屏用于实时显示病患的呼叫信息,以便医护人员能够及时了解患者的需求。
显示屏通常使用液晶显示技术,并具有高亮度和大字体的特点,以便在各种光照条件下都能清晰地显示。
4.铃声报警器:铃声报警器用于发出声音信号,以提醒医护人员有患者需要帮助。
铃声报警器通常使用压电陶瓷薄膜扬声器,具有响亮且清晰的特点。
5.接收器:接收器用于接收控制器发出的信号,并根据信号的内容触发相应的操作。
接收器通常由接收电路和执行电路组成,接收电路用于接收信号,执行电路用于执行相应的操作,比如触发报警器。
软件设计:软件设计主要包括信号处理程序的编写和功能模块的实现。
1.信号处理程序:信号处理程序是该系统的核心软件部分,负责接收控制器发出的信号,并根据信号的内容触发相应的操作。
该程序通常由MCU的编程语言(如C语言)实现,应考虑到实时性和稳定性。
2.功能模块:总结:病房呼叫系统的设计方案包括硬件设计和软件设计两部分。
硬件部分包括呼叫器、控制器、显示屏、铃声报警器和接收器,软件部分包括信号处理程序和功能模块。
该系统可以实时监控病患的呼叫信号并及时通知医护人员,提供紧急救治和及时的医疗服务。
数字电子技术课程设计报告-病床呼叫系统
数字电子技术课程设计报告题目:病床呼叫系统班级:姓名:指导老师:组号:目录1、设计任务及要求 (3)2、方案设计 (3)3、各单元电路设计与分析 (4)3.1、开关控制及指示灯与数码管显示部分 (4)3.2、优先编码部分 (5)3.3、5s闪烁呼叫模拟部分 (6)4、总体电路原理图及元器件清单 (7)4.2、电路原理图 (8)4.2、原件清单 (10)5、电路仿真及仿真结果分析 (11)6、电路功能测试方案与测试结果 (12)7、作品照片图 (12)8、结论与心得体会 (13)9、参考文献 (13)病床呼叫系统1、设计任务及要求:用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用1~5个开关模拟5个病房的呼叫输入信号,5个呼叫优先级不同。
(2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。
(3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。
(4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。
2、方案设计:临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。
监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。
呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。
监控机构和呼叫源之间通过数据线连在一起。
本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。
病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。
数电课程设计——病房呼叫系统
数电课程设计——病房呼叫系统一、课程设计目的:通过本设计课程的学习,让学生深刻理解数字电路的设计和应用,提高学生的电路设计能力,并能够运用所学知识完成一个完整的电路设计和实践应用。
二、课程设计内容:1.设计目标本设计课程旨在设计一个病房呼叫系统,该系统可以根据对应的呼叫按钮,向医护人员的接收设备发送呼叫信息,以便医护人员及时处理相关事项,保障病人的生命安全和健康。
2.设计要求本课程设计要求学生掌握数字电路的基本原理和设计方法,能够运用寄存器、计数器、门电路等数字电路芯片实现病房呼叫系统的设计。
3.电路功能和结构设计病房呼叫系统主要由呼叫按钮、控制器、显示器、接收器四个部分组成。
a、呼叫按钮:将病人的呼叫信息发送给控制器,可以设置多个呼叫按钮。
b、控制器:接收呼叫按钮的信号并将相应的信号发送给对应的接收器,同时将呼叫信息进行存储和显示。
c、显示器:将呼叫信息显示在屏幕上,提醒医护人员及时处理。
d、接收器:接收控制器发送的信号,发出声音或振动提醒医护人员。
4.电路实现电路实现的关键是对病房呼叫系统的设计进行详细规划。
a、呼叫按钮部分:根据病房的实际情况,需要设置多个呼叫按钮,每个呼叫按钮都需要连接到控制器上。
呼叫按钮可以选择常开或常闭两种形式,常开按钮需要通过电路控制而常闭按钮则直接连接。
b、控制器部分:控制器是整个系统的核心部分,需要安装多个触发器,以接收不同按钮的信号,并将信号进行存储、比较和转换,最终实现呼叫信息的显示和发送。
c、显示器部分:显示器可以采用一块数码管,将呼叫信息的编号进行展示,提醒医护人员及时处理。
d、接收器部分:接收器部分可以选择蜂鸣器、灯光或振动器等形式,将呼叫信息传达给医护人员。
5.相关细节设计为了使整个系统稳定可靠,需要对相关的细节进行设计:a、电源:最好采用稳压电源,以保证电路工作的稳定性。
b、防雷保护:在系统设计中需要注意对各个部位进行综合防雷保护,以保障电路安全和可靠。
基于vhdl和multisim的病房呼叫系统数电课设
基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统是一种用于病房内患者呼叫护士的设备。
本文将介绍基于VHDL 和Multisim的病房呼叫系统的数字电路设计。
1. 系统概述病房呼叫系统是一种用于病房内患者呼叫护士的设备。
系统由患者端和护士端组成。
患者端包括呼叫按钮和显示屏,护士端包括显示屏和报警器。
当患者按下呼叫按钮时,护士端的显示屏将显示患者的呼叫信息,并触发报警器发出声音。
2. 系统设计系统的设计基于VHDL和Multisim。
VHDL用于编写数字电路的行为描述,Multisim用于模拟和验证电路的功能。
2.1 患者端设计患者端包括一个呼叫按钮和一个显示屏。
呼叫按钮用于患者发起呼叫请求,显示屏用于显示患者的呼叫信息。
2.1.1 呼叫按钮设计呼叫按钮是一个触发器,当患者按下按钮时,触发器输出逻辑高电平。
我们可以使用VHDL编写一个简单的触发器模块,如下所示:```vhdlentity CallButton isport (clk : in std_logic;button : in std_logic;call_req : out std_logic);end CallButton;architecture Behavioral of CallButton isbeginprocess(clk)beginif rising_edge(clk) thenif button = '1' thencall_req <= '1';elsecall_req <= '0';end if;end if;end process;end Behavioral;```2.1.2 显示屏设计显示屏用于显示患者的呼叫信息。
我们可以使用VHDL编写一个显示屏模块,如下所示:```vhdlentity Display isport (clk : in std_logic;call_req : in std_logic;display_msg : out std_logic_vector(7 downto 0) );end Display;architecture Behavioral of Display issignal counter : integer range 0 to 9 := 0;beginprocess(clk)beginif rising_edge(clk) thenif call_req = '1' thencounter <= 9;elsif counter > 0 thencounter <= counter - 1;end if;end if;end process;process(counter)begincase counter iswhen 0 =>display_msg <= "00000001"; -- 显示“呼叫”when 1 =>display_msg <= "00000010"; -- 显示“请稍候”when 2 =>display_msg <= "00000100"; -- 显示“正在处理”when 3 =>display_msg <= "00001000"; -- 显示“护士已派出”when others =>display_msg <= "00000000"; -- 不显示任何信息end case;end process;end Behavioral;```2.2 护士端设计护士端包括一个显示屏和一个报警器。
数字电路课程设计病房呼叫系统
课程设计报告设计题目:病房呼叫系统摘要本设计完成的是病房呼叫系统的功能。
该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。
呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。
采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。
这些模块共同工作完成本电路的功能实现。
本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。
这些模块共同工作完成本电路的功能实现。
其中运用了8线—3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,7段字形译码器74LS47D和共阴极七段数码管来实现译码显示模块主要功能,运用555定时器来实现呼叫模块。
本论文阐述了如何利用数字电路的思想,以及应用MULTISIM7仿真软件设计病房呼叫系统,测试,并实现其功能。
关键词:病房呼叫系统,主从结构,数字电路目录摘要 (1)第1章概述 (3)第2章课程设计任务及要求 (4)2.1设计任务 (4)2.2设计要求 (4)第3章系统设计 (4)3.1方案论证 (4)3.2系统设计 (5)3.2.1结构框图及说明 (5)3.2.2系统原理图及工作原理 (7)3.3单元电路设计 (9)3.3.1单元电路工作原理 (9)3.3.2元件参数选择 (16)第4章软件仿真 (17)4.2仿真过程 (18)4.3仿真结果 (19)第5章安装调试 (22)5.1安装调试过程 (22)5.2 故障分析 (23)第6章结论 (24)6.1对于病房呼叫系统的仿真设计 (24)6.2对于数字式抢答器的安装调试 (24)第7章使用设备仪器清单 (25)参考文献 (25)收获、体会和建议 (25)第1章概述病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,是提高医院和病房护理水平的必备设备之一。
数 电 基 础 课 程 设 计-病房呼叫系统
总电路图:¸并且要求当“l”号病房的按钮按下时,无论其他病室的按钮是否按下,护士值班室的数码显示“1”,即l号病室的优先级别最高,其他病室的级别依次递减,7号病室最低,当7个病房中有若干个请求呼叫开关合上时,护士值班室的数码管所显示的号码即为当前相对优先级别最高的病室呼叫的号码,同时在有呼叫的病房门口的指示灯闪烁。
待护士按优先级处理完后,将该病房的呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。
全部处理完毕后,即没有病室呼叫,此时值班室的数码管熄灭。
例如:(1)闭合开关2,数码管显示“2”,并且蜂呜器SP令计算机上的扬声器发声。
¸(2)闭合开关2、4、7,由于病房的优先级从高到低依次为1、2、3、4、5、6、7,所以数码管显示2.二、设计原理分析:(2)本设计中采用了8/3优先编码器74LS148N,74LS148N有8个数据端(0---7),3个数据输出端(A0---A1),1个使能输入端(EI:低电平有效),两个输出端(GS、EO)。
数据输出端A---C根据输入端的选通变化,分别输出000---111这0---7二进制码,经逻辑组合电路与74LS47D七段译码器/驱动器的数据输入端(A---C)相连,最终实现设计要求的电路功能,电路如图所示。
电路中异或门74LS86D 的输出端与74LS47D七段译码器/驱动器的数据输入端的数据端(A、B、C)连接。
X1X2X3X4X5X6X7优先编码器74ls148功能表>使能端OE(芯片是否启用)的逻辑方程:OE =I0·I1·I2·I3·I4·I5·67·IE当OE输入IE=1时,禁止编码、输出(反码): A2,A1,A0为全1。
当OE输入IE=0时,允许编码,在I0~I7输入中,输入I7优先级最高,其余依次为:I6,I5,I4,I3,I2,I0,I0等级排列。
数电病房呼叫系统设计
数电病房呼叫系统设计设计一个数电病房呼叫系统,可以提高病人就诊效率和医院服务质量。
该病房呼叫系统主要包括病人呼叫按钮、医生护士工作站和大屏幕监控显示屏。
1.病人呼叫按钮:每个病床都配备一个呼叫按钮,病人可以通过按下按钮来呼叫医护人员。
按钮设有灯光提示,指示医护人员已收到呼叫请求。
按钮可以与床头储物柜配对,一旦病人按下呼叫按钮,相应储物柜上方的小灯亮起,提醒医护人员相关信息,如床号、病情等。
2.医生护士工作站:该工作站配备大屏显示器和呼叫系统管理软件。
工作站与所有病床呼叫按钮相连,实时接收病人的呼叫请求。
医生、护士可以通过工作站软件查看每个病床的呼叫信息,并按病情紧急度进行优先处理。
工作站还可提供一键呼叫功能,使医生护士可以直接呼叫其他部门(如药房、实验室等)的工作人员。
3.大屏幕监控显示屏:该显示屏安装在病房走廊,用于实时显示每个病床的呼叫信息。
大屏幕分为多个区域,每个区域对应一个病床。
当有病人呼叫时,相应区域会闪烁显示,提醒医护人员及时处理。
显示屏上还可以显示医生护士的工作状态,如空闲、忙碌等,以便其他医护人员可以根据工作状态分配任务。
4.呼叫系统管理软件:该软件主要负责管理呼叫系统的各项设置,如病床编码、呼叫优先级、工作站显示设置等。
软件可以自动记录呼叫信息和处理时间,为医院管理层提供统计分析和质量监控。
通过以上设计,数电病房呼叫系统可以提供以下优点:1.提高病人就诊效率:病人可以方便地呼叫医护人员,减少等待时间,并且医生护士可以根据病情优先处理呼叫请求。
2.改善医院服务质量:医生和护士可以更加高效地响应病人呼叫,提供更及时的医疗服务。
大屏幕显示屏能够提醒医护人员病床呼叫信息,提高处理效率。
3.提高医疗资源利用率:工作站软件可以统计分析呼叫信息和处理时间,帮助医院管理层评估医疗资源使用效果,调整医护人员分配和工作流程。
总之,数电病房呼叫系统设计可以提高病人就诊效率,改善医院服务质量和提高医疗资源利用率,为医院提供更高效的医疗服务。
(整理)数字电路课程设计病房呼叫系统
课程设计报告题目:病房呼叫系统起止日期:自2011年12月19日至2011年12月30日信息科学与工程系系(部)学生姓名09电子科学与技术班级学号成绩指导教师(签字)2011 年 12 月 30 日课程设计任务书2011-2012学年第一学期系(部)信息科学与工程系专业电子科学与技术班级课程名称:数字电子技术设计题目:病房呼叫系统指导教师(签字):年月日教研室主任(签字):年月日目录1概述 (1)2方案的选择与论证 (1)3单元电路的设计和元器件的选择 (1)4系统电路总图及原理 (5)5经验体会 (7)参考文献 (8)附录A系统电路实物图 (9)附录B元器件清单 (10)1 概述本次课程设计选择的题目是病房呼叫系统。
类似系统在目前的医院里已经十分常见,几乎所有的病房里都配备的这样的紧急呼叫系统。
这些系统虽然出自不同的生产商,但往往都具备这样一些共同点,如:当病人呼叫时都可产生声或者光等信号提示并显示病人的编号、根据病人的病情来设置显示的优先级别以确保病情最重的病人最先得到医治等等。
这个系统是十分实用并且高效的,因而,这个课程设计题目是接近现实应用的,对于一个理工科的学生来说是有相当实际意义的,而且这个设计题目也是有趣的,是值得花时间甚至是大量时间反复推敲琢磨的。
2 方案的选择与论证2.1方案一:使用5个jk触发器对k1-k5这5路信号进行锁存,这时使用的是轻触开关,编码器用74LS148优先编码器,报警部分是2个555定时器组成的发生器。
2.2 方案二:不使用jk触发器对k1-k5这5路信号进行锁存,直接使用拨动开关对信号进行控制,编码器用74LS148优先编码器加一个HD74LS04非门,报警部分是用1个555定时器发声。
74LS148优先编码器产生的是一个BCD反码,需要加非门来转换成BCD码.病房呼救系统使用轻触开关时必须配合有锁存功能的芯片,但是考虑到我们几天的实际仿真结果和掌握的材料,我们略去了锁存按键信号部分,直接使用了拨动开关。
数字电路课程的设计-病房呼叫系统
在这次的设计中,使我认识到自己在学习知识中的不足。特别是对一些部件的不理解,发现我有很多东西都学的不太好。我真后悔没下大功夫学习模拟电子技术和数字电子技术。这次的设计我做的是模拟电子设计,所以我还得再把数字电子部分好好看一看。通过这次设计我想我应该在以后的学习中吸取我不认真搞懂知识的教训,认真对待每一个知识点,脚踏实地的去学习,多向老师和同学请教.在此次设计中我引用了许多网上和书上的资料,并且学会了用ORCAD制作电子电路,但是我画的不是很好,画的不是特别的明白,不过我学到了很多。不过我的设计中还存在有很多的不足之处,还望老师予以指正,提出修改的建议!
[10]《数字逻辑电路分析与设计》(第一版)(影印版) Victor P.Nelson清华大学出版社 1997年12月
[11]Digital Logic Circuit Analysis & Design Victor P.Nelson,H.Troy Nagle,Bill D.Carroll,J. David Irwin 1999 年5月
[6]文艳、谭鸿.《Protel 99 SE电子电路设计》.机械工业出版社.2006年
[7]《集成电子技术基础》郑家龙、王小海、章安远主编 东北大学出版社 2002.7
[8]《数字电路逻辑设计》 李大友主编 清华大学出版社 1999.7
[9]Digital Electronics A Simplified Approach Thompson.R.D. 2005-07
数电课程设计病床呼叫系统
数字电子技术课程设计报告题目:病床呼叫系统班级:姓名:指导老师:组号:目录1、设计任务及要求 (3)2、方案设计 (3)3、各单元电路设计与分析 (4)3.1、开关控制及指示灯与数码管显示部分 (4)3.2、优先编码部分 (5)3.3、5s闪烁呼叫模拟部分 (6)4、总体电路原理图及元器件清单 (7)4.2、电路原理图 (8)4.2、原件清单 (10)5、电路仿真及仿真结果分析 (11)6、电路功能测试方案与测试结果 (12)7、作品照片图 (12)8、结论与心得体会 (13)9、参考文献 (13)病床呼叫系统1、设计任务及要求:用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:1分别用1~5个开关模拟5个病房的呼叫输入信号;5个呼叫优先级不同..2用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时;显示优先级最高的呼叫号其他呼叫用指示灯显示..3凡有呼叫发出5s的呼叫声可通过LED灯5s闪烁模拟..4当护士接收到信号;按下复位键时数码管被清零;而且不能影响下次呼叫的进行..2、方案设计:临床求助呼叫是传送临床信息的重要手段;病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具;可将病人的请求快速传送给值班医生和护士;并在值班室的监控屏幕上留下准确完整的记录;是提高医院和病房护理水平的必备设备之一..监控机构一般放置在护士值班室内;当病床有呼叫请求时进行声光报警;并在显示器上显示病床的位置..呼叫源按钮放在病房内;病人有呼叫请求时;按下请求按钮;向值班室呼叫;并点亮呼叫指示灯..监控机构和呼叫源之间通过数据线连在一起..本设计为一个模拟系统;通过各类芯片的组合来实现该系统的基本功能;完成各项操作..病床呼叫系统能对5张病床进行统一监护;能够对不同优先级的呼叫进行优先响应处理;对发出呼叫的病床有指示灯进行提示;还能显示优先级最高的呼叫号;并发出5s的呼叫声用一个闪烁的指示灯模拟;当护士接收到信号;按下复位键时显示管被清零..通过对设计的要求分析;可以将电路大致分为四大模块;触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模块;通过对四大模块的整合;即可基本达到实验要求;实现系统功能..如图1所示;该系统分为三大部分:5个呼叫按钮;右边方框为护士站的呼叫处理端;包括5个指示灯、一个数码管显示器和一个响应复位开关;中间是优先级编码和计数功能模块..制作时分为病人模块和护士模块..图1病床呼叫系统设计框图3、各单元电路设计与分析:3.1、开关控制及指示灯与数码管显示部分由5个输入高低电平的开关分别代表5个病床的呼叫按钮;还需要一个开关作为复位端即清零端..将指示灯及复位开关放置在护士模块上面..利用74LS148进行优先编码之后;再利用74LS00的与非门连接到74LS48上面;是输出高电平有效的译码器;用74LS48连接数码管进行显示对应的病床号..5个开关输入以后可以经5个D触发器输入到74LS148;5个输入有优先级;从1到5的优先级依次降低;1到5开关连接到相应的指示灯..开关直接控制指示灯亮;而更高一级的显示则需要优先编码器来实现输出..由于74LS74属于TTL芯片;内部自带上拉电阻;所以用开关触发的时候需要加下拉电阻;阻值为470Ω;D触发器由上升沿触发;开关一边接高电平;一边接触发端;按下开关时;触发;使灯泡亮..D触发器复位端低电平有效;将所有触发器的复位端连在一起接另一个开关的一端;该开关另一端接高电平;在不需要复位的时候复位端一直无效..数码管显示:3.2、优先编码部分优先编码模块的逻辑电路如图2..如图2所示;时钟端单独接脉冲;5个开关输入连接到优先编码器的8个输入端的其中5个即可;分别为I 2、I 3、I 4、I 5、I 6;经过74LS148的优先级选择后从A 0、A 1、A 2输出到数码管显示电路显示病床号.表1给出了74LS148输入、输出对应的真值表..表1 74LS148输入、输出对应真值表图2 优先编码模块逻辑电路图3.3、5s 闪烁呼叫模拟部分5s 闪烁呼叫模拟部分逻辑电路图如图3所示..用开关控制脉冲的输入:5个开关依次连入或门;脉冲再与开关部分连入与门;再将可控制的脉冲接入74LS161的脉冲输入端;实现当K 1~K 5任意一个或多个为高电平时有脉冲输入到74LS161中;全部为低电平时没有脉冲输入..为了实现指示灯闪烁5s 的功能;当Q d Q c Q b Q a 为0101时;把Q a Q c 端接至与非门再连接至T 端;实现模五计数器;使计数器可以保持在0101;再将Q a Q c 接入的与非门与可控脉冲连接到与门;这样可以保证Q d Q c Q b Q a 从0000到0101每变一次指示灯闪烁一下;共闪烁5次后停止..利用555来提供10hz 的脉冲;如图所示;由3输出;电阻均为1k;电容为47uf..图3 模五计数器4、总体电路原理图及元器件清单: 4.1、电路原理图将上述各功能模块综合起来得到整个系统的逻辑电路图;如图4所示..图4和图5 病房及护士站模拟电路图4.2、原件清单:5、电路仿真及仿真结果分析:仿真结果比较理想..6、电路功能测试方案与测试结果:给电路接入电源;然后运行电路;当按下一个按键开关时;对应的灯泡会亮并且会显示数字;另一个灯会闪烁五次;当按下复位开关时;灯泡都会熄灭;显示数字07、作品照片图:8、结论与心得体会:在画图的过程中;忘记接所有芯片的VCC;GND了;这是严重的错误;而且有几个与非门接错了;整体布局不是很好导致有很多跳线..做完后发现所有灯都一起亮了;说明开关没有起到作用;也就是没有触发;经过思考后发现是由于没有加下拉电阻;当加了下拉电阻之后;五个灯泡正常工作了;但是数码管还没有正常工作;检查后发现74LS48与数码管连接错了;在认真的查了芯片的引脚后;进行了改正;这时数码管有了显示;但是不是正确的数字;再次检查后发现数码管并不是共阴极数码管;这是由于在使用没有测试导致的错误;换为共阴极数码管后整个东西能够正常使用了..通过这次课程设计的画图到作出实物;我收获了许多东西;画图时首先就应该连好VCC;GND;用某一个芯片时先要搞清楚其引脚的作用;不能凭想象连接..在检查的过程中要仔细分析每个引脚到底应该输出什么电平;是否出错..在画图没有错误的条件下;还要保证布局合理..9、参考文献:1、康华光.电子技术基础数字部分第五版.高等教育出版社.2、武俊鹏刘书勇付小晶.数字电路实验与实践教程.北京清华大学出版社.。
数电:病房呼叫系统设计
数电:病房呼叫系统设计-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN病房呼叫系统设计某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。
现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。
当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。
当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。
只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。
试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。
一、实验目的:(1)、熟悉优先编码器的优先功能及应用。
(2)、掌握译码显示电路设计。
二、实验内容:试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。
具体要求如下:(1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。
(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。
(3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。
三、实验原理:优先编码74LS148的原理图如图1所示,其功能表如图2所示。
74LS00管脚图74LS148管脚图74LS148的功能表四、实验器材:(1)、数字电路实验箱一台(2)、元器件集成门电路:74LS00等若干优先编码器74LS148芯片 1片按键开关 4个共阴极七段数码管 1位蜂鸣器或扬声器 1个LED指示灯 1个电阻若干五、求解:解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下;输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮;Y2 Y1 Y0Y2' Y1' Y0'Z1 Z2 Z3 Z4 A1' A2' A3'A4'0 X X X0 1 1 1 0 0 1 0 0 01 0 X X 0 1 0 1 0 10 1 0 01 1 0 X0 0 1 1 1 00 0 1 01 1 1 00 0 0 1 1 10 0 0 1由真值表写出:Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0'Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0Z4=Y2'Y1'Y0'据此画出如图所示的电路连接图:六、注意事项:接线时要注意各芯片及数码管输入端的位权顺序。
数字电路-病房呼叫系统
数字电路课程设计
题目:病床呼叫系统
学院:计算机与信息工程学院
班级:电子信息科学与技术
姓名:
学号:
2013年 5 月3日
目录
一、病房呼叫系统的功能 (1)
二、(题目)设计框架 (1)
三、元器件选择 (2)
四、原理图 (3)
五、结论及心得 (4)
一、病床呼叫系统的功能
1、当病人输完液或出现紧急情况时,按身边的呼叫器呼叫值班护士。
2、护士站的值班人员通过数码管显示的呼叫信息了解病房信息。
3、数码管显示的信息能准确的显示需要帮助的病人病房号、病床号,使护士工作效率
提高。
二、设计框架
三、元件选择
四、原理图
五、结论及心得
通过本次课程设计,使我对这学期的数字逻辑和数字系统这门课有了更深刻的认识,学会了用所学的知识去解决实际问题,很大程度上提高了我的实际动手能力,同时,也掌握了一些芯片的功能以及平时学习过程中被忽略的一些小细节。
还有最大的体会,那就是在做事过程中,耐心是必须具备的,它不仅是完成事情的关键,也是有所进步的关键。
数电课程设计——病房呼叫系统
数电课程设计——病房呼叫系统学校:学院:班级:姓名:组员:学号:前言临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生或护士,并在值班室的监控中心电脑上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。
呼叫系统的优劣直接关系到病员的安危,历来受到各大医院的普遍重视。
它要求及时、准确、可靠、简便可行、利于推广。
本设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行声光报警,并在显示器上显示病床的位置。
呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。
监控机构和呼叫源之间通过电线连接在一起。
本论文阐述了病房呼叫系统的基本组成以及一些相关的硬件设计,设计要求:1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理仿真软件简要介绍本次课程设计我们主要使用Multisim 10来进行仿真。
Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
Multisim软件有许多版本,这次我们选择Multisim 10是因为该版本比较普遍,兼容性也较强。
硬件设计电路总体结构:图1 电路总体结构本设计采用了74LS148D 、74LS47D、74160及各种们电路。
通过开光1、2、3、4、5的开闭点亮指示灯并给优先编码器74LS148D送码,优先编码器74LS148D将其编码后再传送给74LS47D,74LS47D再将其翻译出来,传送给数码管。
数电课程设计-医院病人紧急呼叫系统方案
数字电路课程设计一、设计总体思路 (2)2.基本原理与框图 (2)3.总电路图 (4)二、单元电路设计 (5)1.信号锁存电路 (5)2. 优先编码电路 (7)3.显示电路 (9)4. 逻辑控制及复位电路 (9)三、附录 (12)一、设计总体思路1.设计内容及要求根据要求设计一个医院病人紧急呼叫系统。
具体要求如下:1、当病人紧急呼叫时,能显示病人的编号;2、根据病人病情设置优先级别。
当有多人呼叫时,病情严重着优先;3、医务人员处理当前最高级别病人的呼叫后,系统按优先级别显示其它呼叫病人的编号。
2.基本原理与框图系统可由信号呼叫锁存、优先编码、显示电路及逻辑控制清除电路等几部分组成。
系统首先通过不同的按钮开关接受不同的呼叫信号,并将其对应信号锁存在与之相对应的D触发器中,然后将这些呼叫信号传到8路优先编码器,编码器再根据触发器中信号优先级的高低依次对这些信号进行编码,然后将当前最高优先级别的信号显示出来。
医务人员根据当前显示病人编号进行处理,处理一个按一下控制开关,则可清除当前处理的病人并显示下个次要优先级别的病人,清除的原理就是:每当按一下复位按钮就会产生一个上升沿脉冲,使计数器置数,并将当前显示的数通过计数器输入到译码器中,与之对应输出一个低电平信号最为触发器清零信号。
此时,显示器中显示的是次级编码信号。
同理将依次处理完毕,最后全部处理完后将显示零。
系统工作的原理图框图3.总电路图根据系统需要及电路的框图可用EWB仿真软件做出总电路图:医院病人紧急呼叫系统总电路图二、单元电路设计1.信号锁存电路此系统所用D触发器为74LS74,当预置端及清除端均为高电平且当CLK上升沿时将输入信号D锁存在Q中的功能,Q=D。
这里我们所使用的为Q端,首先使Q为高电平,当输入一个上升沿脉冲时Q变为低电平。
74LS74功能表如下:11↑001110x保持74LS74锁存部分电路图如下:此电路使用了2个74LS74双D触发器4个输入端分别代表4个病人,是先把D端置高电平,当给了时钟CP后,Q 端出高电平1,Q端出低电平0,此时的Q低电平可直接作用于优先编码器的编码输入端,使之输出对应的二进制数据。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
毕业设计(数电知识实现)
班级:生物工程;姓名:康静;学号07221031
设计题目:病房呼叫系统
一、题目及要求
某医院有一、二、三、四号病室,每个房间装有呼叫按钮,同时在护士值班室内有相应的显示电路,即能看到是哪个病室呼叫。
现要求:一号病室的呼叫优先权最高,四号病室最低,试用74LS148和门电路设计满足上述要求的组合逻辑电路,并要求设计出显示单元电路,即显示病室房间号。
二、功能描述:
1、呼叫功能:四个病室每个病室都装有一个呼叫按钮,当病室有需要时,可以通过呼叫按钮进行呼叫
2、显示功能:在护士值班室内有相应的显示电路,可以看到是哪个病室在呼叫
3、优先权:四个病室呼叫具有优先权,其中一号病室优先权最高,四号病室优先权最低。
只要有一号病室呼叫时,不管其他三个病室是否呼叫,显示电路均显示一号病室;一号病室不呼叫时,只要有二号病室呼叫,不管三号、四号是否呼叫,显示电路均显示二号病室……依次类推。
优先权的功能是由74LS148芯片实现的。
二、方案设计:
1.总体设计思路(含电路原理框图):
设计思路:
以四号病室呼叫为例:
当只有四号病室呼叫时,要使显示单元电路显示“4”,则74LS47芯片二进制输入DCBA应为0100,即74LS148芯片输出A2A1A0为100(其中74LS47的D端可以由74LS148的GS端来控制),由74LS148真值表可知,其输入信号01234567应为***01111,即四号病室呼叫按钮应该接在74LS148输入端的“3”管脚。
同理,三号、二号、一号病室呼叫按钮应分别接在74LS148输入端的“4”、“5”、“6”管脚,:“7”输入端应始终接高电平。
而当四个病室都不呼叫时,数码管应不显示数字,此时DCBA为1111,D 由GS控制,即GS、A0、A1、A2都为高电平,要达到这样的要求,须使74LS148的“012”均接高电平。
为保证芯片正常工作,74LS148的E1、GND均接地,74LS47的LT’、BI\RBO’、RBI’接高电平,GND接低电平。
电路的原理框图如下图所示:
工作情况:
四个病室都不呼叫:
四个病室同时呼叫:
二、三、四号病室同时呼叫:
三、四号同时呼叫:
只有四号病室呼叫:
三、总结:(电路设计中发现的问题、学到的知识或参考文
献等)
在本次课程设计的过程中,我也遇到了很多问题,特别是在芯片的使用方面,第一次使用芯片,对芯片的功能和使用方法等都不是很熟悉,各个管脚的作用也不明白,于是在管脚的使用和连接上用了很多的时间,通过本次课程设计,我也学到了很多知识,加强了自己的动手和思考能力,对相关数字电子技术知识加深了了解和运用:
1、学习了EWB电子仿真软件的使用,体会到了此软件在电子仿真中的强大
功能
2、通过对病房呼叫系统的设计,掌握了通过看芯片真值表合理使用和联接
芯片各个管脚的的方法,加强了自己的思考问题和解决问题的能力,为
以后进行相关的电路设计积累了很多宝贵的经验
3、进一步加深了对数电知识的理解和运用
附:芯片真值表:
芯片74LS148的真值表如下:
由真值表可知,该芯片低电平输入有效。
74LS47芯片真值表如下:。