半导体工艺中的英语词汇上课讲义
半导体工艺中的英语词汇
![半导体工艺中的英语词汇](https://img.taocdn.com/s3/m/d06ecb3727d3240c8447ef6b.png)
AAbrupt jun ction 突变结Accelerated test ing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulatio n 积累、堆积Accumulat ing con tact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activati on 激活Activation energy 激活能Active region 有源(放大)区Admittanee 导纳Allowed band 允带Alloy-junction device 合金结器件Aluminum (Aluminium )铝Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous无定形的,非晶体的Amplifier功放扩音器放大器Analogue (Analog)comparator 模拟比较器Angstrom 埃Anneal退火Anisotropic 各向异性的An ode 阳极Arse nic (AS)砷Auger俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avala nche excitati on 雪崩激发BBackgro und carrier 本底载流子Backgro und dop ing 本底掺杂Backward 反向Backward bias 反向偏置Ballasti ng resistor 整流电阻Ball bo nd 球形键合Band能带Ba nd gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base基极Base con tact 基区接触Base stretchi ng 基区扩展效应Base tran sit time 基区渡越时间Base tran sport efficie ncy 基区输运系数Base-width modulation 基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compo und semic on ductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch布洛赫Blocki ng ba nd 阻挡能带Blocki ng con tact 阻挡接触Body - cen tered 体心立方Body-ce ntred cubic structure 体立心结构Boltzma nn 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Bou ndary con diti on 边界条件Bou nd electro n 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built- in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk gen erati on 体产生Bulk recomb in ati on 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitanee 电容Capture cross sectio n 俘获截面Capture carrier 俘获载流子Carrier载流子、载波Carry bit进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade级联Case管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdow n 沟道击穿Channel curre nt 沟道电流Channel dop ing 沟道掺杂Channel shorte ning 沟道缩短Channel width 沟道宽度Characteristic impeda nee 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/ 交换/ 共享/转移/存储Chemmical etchi ng 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片Chip yield芯片成品率Clamped箝位Clampi ng diode 箝位二极管Cleavage pla ne 解理面Clock rate 时钟频率Clock gen erator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Commo n-base/collector/emitter conn ection 共基极/ 集电极/ 发射极连接Common-gate/dra in/source connection 共栅/ 漏/ 源连接Common-m ode gain 共模增益Common-m ode in put 共模输入Com mon-mode rejectio n ratio (CMRR)共模抑制比Compatibility 兼容性Compensation 补偿Compe nsated impurities 补偿杂质Compe nsated semic on ductor 补偿半导体Compleme ntary Darlington circuit 互补达林顿电路Compleme ntary Metal-Oxide-Semico nductor Field-Effect-Tra nsistor (CMOS)互补金属氧化物半导体场效应晶体管Compleme ntary error fun ctio n 余误差函数Computer-aided design (CAD)/test (CAT)/manufacture (CAM)计算机辅助设计/测试/制造Compo und Semic on ductor 化合物半导体Con ducta nee 电导Con ducti on band (edge)导带(底)Con ducti on level/state 导带态Con ductor 导体Con ductivity 电导率Configuration 组态Conlomb 库仑Con pled Co nfiguration Devices 结构组态Co nsta nts 物理常数Constant energy surface 等能面Constant-source diffusion 恒定源扩散Con tact 接触Co ntami natio n 治污Contin uity equatio n 连续性方程Con tact hole 接触孔Con tact pote ntial 接触电势Con ti nuity con ditio n 连续性条件Co ntra dopi ng 反掺杂Con trolled 受控的Converter转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covale nt 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible 坩埚Crystal defect/face/orientation/lattice 晶体缺陷/ 晶面/ 晶向/ 晶格Curre nt den sity 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye len gth 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB)分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat缺陷Dege nerate semic on ductor 简并半导体Dege neracy 简并度Degradation 退化Degree Celsius (centigrade)/Kelvin 摄氏/开氏温度Delay延迟Density 密度Density of states 态密度Depletion 耗尽Depleti on approximati on 耗尽近似Depleti on contact 耗尽接触Depletio n depth 耗尽深度Depletio n effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Depositi on process 淀积工艺Desig n rules 设计规贝UDie芯片(复数dice)Diode二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode in put 差模输入Differe ntial amplifier 差分放大器Differe ntial capacita nee 微分电容Diffused jun ction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusi on con sta nt 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/ 电流/ 炉Digital circuit 数字电路Dipole domai n 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semic on ductor 直接带隙半导体Direct tran siti on 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacita nee 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Don or exhaustion 施主耗尽Dopa nt掺杂剂Doped semic on ductor 掺杂半导体Doping concen trati on 掺杂浓度Double-diffusive MOS (DMOS)双扩散MOS.Drift漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式圭寸装Dynamics 动态Dynamic characteristics 动态属性Dyn amic impeda nee 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation (ship)爱因斯坦关系Electric Erase Programmable Read Only Memory (E2PROM)一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electr on affinity 电子亲和势Electro nic -grade 电子能Electro n-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electro n trappi ng cen ter 电子俘获中心Electro n Volt (eV)电子伏Electrostatic 静电的Element元素/元件/配件Eleme ntal semic on ductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty ba nd 空带Emitter crowdi ng effect 发射极集边(拥挤)效应En dura nee test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 夕卜延层Epitaxial slice 夕卜延片Expitaxy 夕卜延Equivale nt curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/ 少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error fun cti on compleme nt (erfc) 余误差函数Etch刻蚀Etchant刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation en ergy 激发能Excited state 激发态Exciton 激子Extrapolation 夕卜推法Extri nsic 非本征的Extri nsic semic on ductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散) Field effect tran sistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking/conducting 正向阻断/导通Freque ncy deviatio n no ise 频率漂移噪声Freque ncy response 频率响应Function 函数GGain 增益Gallium-Arsenide (GaAs)砷化钾Gamy ray r射线Gate门、栅、控制极Gate oxide 栅氧化层Gauss (ian ) 高斯Gaussia n distributi on profile 高斯掺杂分布Gen erati on-recomb in ati on 产生-复合Geometries 几何尺寸Germanium (Ge) 锗Graded 缓变的Graded (gradual ) channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gu nn - effect 狄氏效应HHarde ned device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor (HBT)异质结双极型晶体High field property 高场特性High-performanee MOS. (H-MOS)高性能MOS. Hormalized 归一化Horiz on tal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid in tegration 混合集成IImage - force 镜象力Impact ioni zati on 碰撞电离Impedanee 阻抗Imperfect structure 不完整结构Impla ntati on dose 注入剂量Impla nted ion 注入离子Impurity 杂质Impurity scattering 杂质散射In creme ntal resista nee 电阻增量(微分电阻)In-co ntact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道Infrared 红外的Injecti on 注入In put offset voltage 输入失调电压In sulator 绝缘体Insulated Gate FET (IGFET)绝缘栅FET Integrated injection logic 集成注入逻辑In tegrati on 集成、积分In terc onnection 互连In terc onnection time delay 互连延时In terdigitated structure 交互式结构In terface 界面In terfere nee 干涉Intern ati onal system of unions 国际单位制Intern ally scatteri ng 谷间散射In terpolati on 内插法In tri nsic 本征的Intrin sic semic on ductor 本征半导体in verse operati on 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etchi ng 离子刻蚀Ion impla ntatio n 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic各向同性JJunction FET (JFET)结型场效应管Junction isolation 结隔离Junction spaci ng 结间距Junction side-wall 结侧壁LLatch up 闭锁Lateral横向的Lattice 晶格Layout 版图Lattice bin di ng/cell/co nsta nt/defect/distortio n 晶格结合力/ 晶胞/ 晶格/ 晶格常熟/晶格缺陷/晶格畸变Leakage curre nt (泄)漏电流Level shifti ng 电平移动Life time 寿命linearity 线性度Li nked bo nd 共价键Liquid Nitroge n 液氮Liquid —phase epitaxial growth tech nique 液相外延生长技术Lithography 光刻Light Emitting Diode (LED)发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Lon gitudi nal 纵向的Logic swi ng 逻辑摆幅Lorentz洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask掩膜板,光刻板Mask level掩模序号Mask set掩模组Mass - action law 质量守恒定律Master-slave D flip-flop 主从D 触发器Matchi ng 匹配Maxwell麦克斯韦Mea n free path 平均自由程Mea ndered emitter jun ction 梳状发射极结Mean time before failure (MTBF)平均工作时间Megeto - resista nee 磁阻Mesa 台面MESFET-Metal Semiconductor 金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectro nics 微电子学Mille n in dices 密勒指数Minority carrier 少数载流子Misfit失配Mismatchi ng 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal 分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor (MOST )MOS.晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module (MCM)多芯片模块Multiplication coefficient 倍增因子NNaked chip未圭寸装的芯片(裸片)Negative feedback 负反馈Negative resista nee 负阻Nesti ng 套刻Negative-temperature-coefficie nt 负温度系数Noise margin 噪声容限Non equilibrium 非平衡No nrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset偏移、失调On standby 待命状态Ohmic con tact 欧姆接触Ope n circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical phot on =phot on 光子Optical que nching 光猝灭Optical transition 光跃迁Optical-coupled isolator 光耦合隔离器Organic semic on ductor 有机半导体Orien tati on 晶向、定向Outline 外形Out-of-contact mask 非接触式掩模Output characteristic 输出特性Output voltage swi ng 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator振荡器Oxide氧化物Oxidation 氧化Oxide passivation氧化层钝化PPackage圭寸装Pad压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillati on 寄生振荡Pass in ati on 钝化Passive comp onent 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo con duction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoe nic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin管脚Pinch off夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Pla nar tran sistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equati on 泊松方程Poi nt con tact 点接触Polarity 极性Polycrystal 多晶Polymer semico nductor 聚合物半导体Poly-silic on 多晶硅Pote ntial (电)势Pote ntial barrier 势垒Potential well 势阱Power dissipation 功耗Power tran sistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Prin t-circuit board (PCB)印制电路板Probability 几率Probe探针Process工艺Propagati on delay 传输延时Pseudopote ntial method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator (PWM)脉冲宽度调制punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Qua ntizatio n 量子化Quantum 量子Quantum efficiency 量子效应Quantum mechanics 量子力学Quasi - Fermi —level 准费米能级Quartz 石英RRadiation con ductivity 辐射电导率Radiatio n damage 辐射损伤Radiation flux den sity 辐射通量密度Radiatio n harde ning 辐射加固Radiati on protect ion 辐射保护Radiative - recomb in ati on 辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recomb in atio n 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifyi ng con tact 整流接触Referenee 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可* 性Resonance 谐振Resista nee 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency 共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(AI2O3)Satellite valley 卫星谷Saturated current range 电流饱和区Saturatio n regi on 饱和区Saturatio n 饱和的Scaled dow n 按比例缩小Scatteri ng 散射Schockley diode 肖克莱二极管Schottky肖特基Schottky barrier 肖特基势垒Schottky con tact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secon dary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semic on ductor-c on trolled rectifier 可控硅Sen dsitivity 灵敏度Serial串行/串联Series inductanee 串联电感Settle time 建立时间Sheet resista nee 薄层电阻Shield 屏蔽Short circuit 短路Shot noise散粒噪声Shu nt分流Sidewall capacitanee 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅Silicon Nitride (Si3N4)氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat (PT)热Speed-power product 速度功耗乘积Spherical球面的Spin自旋Split分裂Spontan eous emissi on 自发发身寸Spread ing resista nee 扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emissio n 受激发射Stimulated recomb in ati on 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutio nal 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关TTailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resista nee 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film tech ni que 厚膜技术Th in-film hybrid IC 薄膜混合集成电路Thi n-Film Tran sistor (TFT)薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductanee 跨导Transfer characteristic 转移特性Transfer electr on 转移电子Tran sfer fun ction 传输函数Tran sie nt 瞬态的Tran sistor agi ng (stress)晶体管老化Tran sit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Tran siti on probability 跃迁几率Tran siti on region 过渡区Tran sport 输运Tran sverse 横向的Trap陷阱Trapping俘获Trapped charge 陷阱电荷Trian gle gen erator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel (ing)隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijun ction 单结的Unipolar单极的Unit cell原(元)胞Un ity-ga in freque ncy 单位增益频率Un ilateral-switch 单向开关VVacancy 空位Vacuum 真空Vale nee (value)band 价带Value band edge 价带顶Vale nee bond 价键Vapour phase 汽相Varactor变容管Varistor变阻器Vibration 振动Voltage 电压WWafer晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield成品率Zener breakdow n 齐纟纳击穿。
半导体术语讲解学习
![半导体术语讲解学习](https://img.taocdn.com/s3/m/de50378f0066f5335b81212c.png)
-用于高分辨显示
-高速存取、
4.FIFO(FIRST IN FIRST OUT)
-一种异步MEMORY
-在FIFO中,数据可以顺序存入、取出
-可以用来同步另一不同速度的系统,或者先存储数据。
MIL
微小计量单位。1MIL=1/1000INCH =25.4um。
BLADE
刀片。
BONDABILITY
焊接能力,用超声热焊将金球与铝板连接在一起时它们之间的分子结合力。
BONDING DIAGRAM
显示如何连接DIE和BONDING PAD的图面,按照产品类型区分。
BROKEN
要分裂或切割的。
C-MOS
COMPLEMENTARY METALOXIDE SEMICONDUCTOR(互补金属氧化物半导体)
JIG
接口板。为所测产品提供合适的工作环境,连接产品和测试系统的电路板。由产品担当ENGINEER制作。
L.G
LEAD GIRL
女员工组长
LASER MARKING
激光打印。激光通过有打印内容的MASK,烧灼EMC,使EMC变色的打印方法。
LCD
“LIQUID CRYSTAL DISPLAY“
液晶显示屏。
CONTAINER
储存一个LOT的MAGAZINE的盒子,以便搬运。
CONTAMINATION
污染。
CPU
全称是“CENTRAL PROCESSING UNIT”
是电子计算机的一部分,具有指示或控制、演算的功能,并能过这些功能执行命令,就如人的大脑一样。
CRACK
在CHIP或PKG上的裂痕。
CURE
半导体专业术语英语讲解学习
![半导体专业术语英语讲解学习](https://img.taocdn.com/s3/m/00352067cfc789eb172dc886.png)
半导体专业术语英语1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
[Word]半导体行业的英文单词和术语
![[Word]半导体行业的英文单词和术语](https://img.taocdn.com/s3/m/37f515038bd63186bcebbcf3.png)
[Word]半导体行业的英文单词和术语半导体行业的英文单词和术语安全地线 sfe ground wire安全特性 security feture安装线 hook-up wire按半周进行的多周期控制 multicycle controlled by hlf-cycle 按键电话机push-button telephone set 按需分配多地址 demnd ssignment multiple ccess(DM) 按要求的电信业务 demnd telecommuniction service 按组编码encode by groupB八木天线 Ygi ntenn白噪声 white Gussin noise白噪声发生器 white noise genertor半波偶极子 hlfwve dipole半导体存储器 semiconductor memory半导体集成电路 semiconductor integrted circuit 半双工操作 semi-duplex opertion半字节 Nib包络负反馈 pek envelop negtive feed-bck 包络延时失真 envelop dely distortion薄膜 thin film薄膜混合集成电路 thin film hybrid integrted circuit 保护比(射频) protection rtio (RF)保护时段 gurd period保密通信 secure communiction报头 heder报文分组 pcket报文优先等级 messge priority报讯 lrm备用工作方式 spre mode背景躁声 bckground noise倍频 frequency multipliction倍频程 ctve倍频程滤波器 octve filter被呼地址修改通知 clled ddress modified notifiction 被呼用户优先priority for clled subscriber 本地PLMN locl PLMN本地交换机 locl exchnge本地移动用户身份 locl mobile sttion identity ( LMSI) 本地震荡器 locl oscilltor比功率(功率密度) specific power比特 bit比特并行 bit prllel比特号码 bit number (BN)比特流 bit strem比特率 bit rte比特误码率 bit error rte比特序列独立性 bit sequence independence 必要带宽 necessry bndwidth闭环电压增益 closed loop voltge gin 闭环控制 closed loop control 闭路电压 closed circuit voltge 边瓣抑制 side lobe suppression 边带sidebnd边带非线性串扰 sidebnd non-liner crosstlk 边带线性串扰 sidebnd liner crosstlk 边带抑制度 sidebnd suppression边角辐射 boundry rdition编号制度 numbering pln编解码器 codec编码 encode编码律 encoding lw编码器 encoder编码器输出 encoder output编码器总工作时间 encoder overll operte time 编码效率 coding efficiency编码信号 coded signl编码约束长度 encoding constrint length 编码增益 coding gin编译程序 compiler鞭状天线 whip ntenn变频器 converter变频损耗 converter conversion loss 变容二极管 vrible cpcitnce diode 变形交替传号反转 modified lternte mrk inversion便携电台 portble sttion便携设备 portble equipment便携式载体设备 portble vehicle equipment 标称调整率(标称塞入率) nominl justifiction rte (nominl stuffing rte)标称值 nominl vlue标称呼通概率 nominl clling probbility 标准码实验信号 stndrd codetest signl (SCTS) 标准模拟天线 stndrd rtificil ntenn 标准频率 stndrd frequency标准时间信号发射 stndrd-time-signl emission 标准实验调制 stndrd test modultion标准输出功率 stndrd power output标准输入信号 stndrd input signl标准输入信号电平 stndrd input-signl level 标准输入信号频率 stndrd input-signl frequency 标准信躁比 stndrd signl to noise表面安装 surfce mounting表示层 presenttion lyer并串变换器 prllel-seril converter (serilizer) 并馈垂直天线 shunt-fed verticl ntenn 并行传输 prllel trnsmission并行终端 prllel terminl拨号错误概率 diling mistke probbility 拨号后延迟 post-diling dely 拨号交换机 dil exchnge拨号线路 dil-up line拨号音 diling tone拨号终端 dil-up terminl波动强度(在给定方向上的) cymomotive force (c. m. f) 波段覆盖 wve coverge波峰焊 wve soldering波特 bud泊送过程 Poisson process补充业务 supplementry service (of GSM) 补充业务登记 supplementry service registrtion 补充业务询问 supplementry service interrogtion 补充业务互连 supplementry service interworking 捕捉区(一个地面接收台) cpture re (of terrestril receiving sttion)捕捉带 pull-in rnge捕捉带宽 pull-in bnwidth捕捉时间 pull-in time不连续发送 discontinuous trnsmission (DTX) 不连续干扰 discontinuous interference 不连续接收 discontinuous reception (DRX) 不确定度uncertinty步谈机 portble mobile sttionC采样定理 smpling theorem采样频率 smpling frequency采样周期 smpling period参考边带功率 reference side bnd power 参考差错率 reference errorrtio参考当量 reference equivlent参考点 reference point参考结构 reference configurtion参考可用场强 reference usble fiend-strength 参考灵敏度 reference sensibility参考频率 reference frequency参考时钟 reference clock参考输出功率 reference output power残余边带调制 vestigil sidebnd modultion 残余边带发射 vestigil-sidebnd emission 操作维护中心 opertion mintennce center (OMC) 操作系统opertion system (OS)侧音消耗 sidetone loss层2转发 lyer 2 rely (L2R)插入组装 through hole pchnology插入损耗 insertion loss查号台 informtion desk差错控制编码 error control coding差错漏检率 residul error rte差分脉冲编码调制(差分脉码调制) differentil pulse code modultion (DPCM)差分四相相移键控 differentil qudrture phse keying (DQPSK)差分相移键控 differentil phse keying (DPSK) 差模电压,平衡电压differentil mode voltge, symmetricl voltge差拍干扰 bet jmming差频失真 difference frequency distortion 长期抖动指示器 long-term flicker indictor 长期频率稳定度 long-term frequency stbility 场强灵敏度field intensity sensibility 场效应晶体管 field effect trnsistor (FET) 超长波通信 myrimetric wve communiction 超地平对流层传播 trnshorizon tropospheric 超地平无线接力系统 trnshorizon rdio-rely system 超高帧hyperfrme超帧 superfrme超大规模集成电路 very-lrge scle integrted circuit (VLSI)超再生接收机 super-regenertor receiver 车载电台 vehicle sttion撤消 withdrwl成对不等性码(交替码、交变码)pired-disprity code (lterntive code, lternting code)承载业务 berer service城市交通管制系统 urbn trffic control system 程序设计技术 progrmming technique程序设计环境 progrmming environment程序优化 progrm optimiztion程序指令 progrm commnd充电 chrge充电率 chrge rte充电效率 chrge efficiency充电终止电压 end-of chrge voltge 抽样 smpling抽样率 smple rte初级分布线路 primry distribution link 初始化 initiliztion处理增益 processing gin传播时延 propgtion dely传播系数 propgtion coefficient 传导干扰 conducted interference 传导杂散发射 conducted spurious emission 传递函数 trnsfer function 传递时间 trnsfer time传声器 microphone传输保密 trnsmission security传输层协议 trnsport lyer protocol 传输集群 trnsmission trunking 传输结束字符 end of trnsmission chrcter 传输媒体 trnsmission medium 传输损耗 trnsmission loss传输损耗 (无线线路的) trnsmission loss (of rdio link)传输通道 trnsmission pth传输信道 trnsmission chnnel传真 fcsimile, FX船舶地球站 ship erth sttion船舶电台 ship sttion船舶移动业务 ship movement service 船上通信电台 on-bord communiction sttion ,ship communiction sttion船用收音机 ship rdio串并变换机 seril to prllel (deserilizer) 串并行变换 seril-prllel conversion 串话 crosstlk垂直方向性图 verticl directivity pttern 唇式传声器 lip microphone 磁屏蔽 mgnetic shielding次级分布线路 secondry distribution link 猝发差错 burst error猝发点火控制 burst firing control 存储程序控制交换机 stored progrm controlled switching systemD大规模集成电路 lrge scle integrted circuit (LSI) 大信号信躁比 signl-to-noise rtio of strong signl 带成功结果的常规操作 norml opertion with successful outcome带宽 bndwidth带内导频单边带 pilot tone-in-bnd single sidebnd 带内谐波 in-bnd hrmonic带内信令 in-bnd signlling带内躁声 in-bnd noise带通滤波器 bnd-pss filter带外发射 out-of-bnd emission带外功率 out-of-bnd power带外衰减 ttenution outside chnnel带外信令 out-bnd signlling带状线 stripline单边带发射 single sidebnd (SSB) emission 单边带发射机 single side-bnd (SSB) trnsmitter 单边带调制 single side bnd modultion 单边带解调 single side bnd demodultion 单边带信号发生器 single side bnd signl generltor 单端同步 single-ended synchroniztion 单工、双半工simplex, hlfduplex单工操作 simplex opertion单工无线电话机 simplex rdio telephone单呼 single cll单频双工 single frequency duplex单频信令 single frequency signlling单相对称控制 symmetricl control (single phse) 单相非对称控制symmetricl control (single phse) 单向 one-wy单向的 unidirectionl单向控制 unidirectionl control单信道地面和机载无线电分系统 SINCGRS单信道无绳电话机 single chnnel cordless telephone 单信号方法 single-signl method单音 tone单音脉冲 tone pulse单音脉冲持续时间 tone pulse durtion单音脉冲的单音频率 tone frequency of tone pulse 单音脉冲上升时间tone pulse rise time单音脉冲下降时间 tone pulse decy time单音制 individul tone system单元电缆段(中继段) elementry cble section (repeter section)单元再生段 elementry regenertor section (regenertor section)单元增音段,单元中继段 elementry repeter section当被呼移动用户不回答时的呼叫转移 cll forwrding on no reply (CFNRy) 当被呼移动用户忙时的呼叫转 clling forwrding on mobile subscriber busy (CFB)当漫游到原籍PLMN国家以外时禁止所有入呼 brring of incoming clls when roming outside the homePLMN country (BIC-Rom)当前服务的基站 current serving BS当无线信道拥挤时的呼叫转移clling forwrd on mobile subscriber not rechble (CENRc) 刀型天线 blde ntenn导频 pilot frequency导频跌落pilot fll down倒L型天线 inverted-L ntenn等步的 isochronous等幅电报 continuous wve telegrph等权网(互同步网) democrtic network (mutully synchronized network) 等效比特率 equivlent bit rte等效地球半径 equivlent erth rdius等效二进制数 equivlent binry content等效全向辐射功率 equivlent isotropiclly rdited power (e. i. r. p.) 等效卫星线路躁声温度 equivlent stellite link noise temperture 低轨道卫星系统 LEO stellite mobile communiction system 低气压实验 low tmospheric pressure test低时延码激励线性预测编码 low dely CELP (LD-CELP)低通滤波器 low pss filter低温实验 low temperture test低躁声放大器 low noise mplifier地-空路径传播 erth-spce pth propgtion地-空通信设备 ground/ir communiction equipment地波 ground wve地面连线用户 lnd line subscriber地面无线电通信 terrestril rdio communiction地面站(电台) terrestril sttion第N次谐波比 nth hrmonic rtio第二代无绳电话系统 cordless telephone system second genertion (CT-2) 第三代移动通信系统 third genertion mobile systems点波束天线 spot bem ntenn点对地区通信 point-re communiction点对点通信 point-point communiction点至点的GSM PLMN连接 point to point GSM PLMN电报 telegrphy电报电码 telegrph code电波衰落 rdio wve fding电池功率 power of bttery电池能量 energy cpcity of bttery电池容量 bttery cpcity电池组 bttery电磁波 electromgnetic wve电磁波反射 reflection of electromgnetic wve 电磁波饶射 diffrction of electromgnetic wve 电磁波散射 scttering of electromgnetic wve 电磁波色射dispersion of electromgnetic wve 电磁波吸收 bsorption of electromgnetic wve 电磁波折射 refrction of electromgnetic wve 电磁场 electromgnetic field电磁发射 electromgnetic field电磁辐射 electromgnetic emission电磁干扰 electromgnetic interference (EMI) 电磁感应 electromgnetic induction电磁环境 electromgnetic environment 电磁兼容性 electromgnetic comptibility (EMC) 电磁兼容性电平 electromgnetic comptibility level 电磁兼容性余量 electromgnetic comptibility mrgin 电磁脉冲 electromgnetic pulse (EMP) 电磁脉冲干扰 electromgnetic pulse jmming 电磁敏感度electromgnetic susceptibility 电磁能 electromgnetic energy 电磁耦合 electromgnetic coupling电磁屏蔽 electromgnetic shielding电磁屏蔽装置 electromgnetic screen电磁骚扰 electromgnetic disturbnce 电磁噪声 electromgnetic noise 电磁污染 electromgnetic pollution电动势 electromotive force (e. m. f.) 电话机 telephone set电话局容量 cpcity of telephone exchnge 电话型电路 telephone-type circuit电话型信道 telephone-type chnnel电离层 ionosphere电离层波 ionosphere wve电离层传播 ionosphere propgtion电离层反射 ionosphere reflection电离层反射传播 ionosphere reflection propgtion 电离层散射传播ionosphere sctter propgtion 电离层折射 ionosphere refrction 电离层吸收 ionosphere bsorption电离层骚扰 ionosphere disturbnce电流探头 current probe电路交换 circuit switching电屏蔽 electric shielding电视电话 video-telephone, viewphone, visul telephone电台磁方位 mgnetic bering of sttion 电台方位 bering of sttion电台航向 heding of sttion电文编号 messge numbering电文队列 messge queue电文格式 messge formt电文交换 messge switching电文交换网络 messge switching network 电文结束代码 end-of-messge code电文路由选择 messge routing电小天线 electroniclly smll ntenn 电信管理网络 telecommuniction mngement network (TMN)电信会议 teleconferencing电压变化 voltge chnge电压变化持续时间 durtion of voltge chnge 电压变化的发生率 rte of occurrence of voltge chnges 电压变化时间间隔 voltge chnge intervl 电压波动 voltge fluctution电压波动波形 voltge fluctution wveform 电压波动量 mgnitude of voltge fluctution 电压不平衡 voltge imblnce, voltge unblnce 电压浪涌 voltge surge电压骤降 voltge dip电源 power supply电源电压调整率 line regultion电源抗扰性 mins immunity电源持续工作能力 continuous opertion bility of the power supply 电源去耦系数 mins decoupling fctor电源骚扰 mins disturbnce电子干扰 electronic jmming电子工业协会 Electronic Industries ssocition (EI) 电子系统工程electronic system engineering 电子自动调谐 electronic utomtic tuning 电子组装 electronic pckging电阻温度计 resistnce thermometer跌落试验 fll down test顶部加载垂直天线 top-loded verticl ntenn 定长编码 block code定期频率预报 periodicl frequency forecst 定时 clocking定时超前 timing dvnce定时电路 timing circuit定时恢复(定时抽取) timing recovery (timing extrtion)定时截尾试验 fixed time test定时信号 timing signl定数截尾试验 fixed filure number test 定向天线 directionl ntenn 定型试验 type test动态频率分配 dynmic frequency lloction 动态信道分配 dynmic chnnel lloction 动态重组 dynmic regrouping动态自动增益控制特性 dynmic GC chrcteristic 抖动 jitter独立边带 independent sidebnd独立故障 independent fult端到端业务 teleservice短波传播 short wve propgtion短波通信 short wve communiction短路保护 short-circuit protection 短期抖动指示器 short-term flicker indictor 短期频率稳定度 short-term frequency stbility 短时间中断(供电电压) short interruption (of supply voltge)段终端 section termintion对称二元码 symmetricl binry code对地静止卫星 geosttionry stellite对地静止卫星轨道 geosttionry stellite orbit 对地同步卫星geosynchronous stellite 对讲电话机 intercommunicting telephone set 对空台 eronuticl sttion对流层 troposphere对流层波道 troposphere duct对流层传播 troposphere propgtion对流层散射传播 troposphere sctter propgtion 多次调制 multiple modultion多点接入 multipoint ccess多电平正交调幅 multi-level qudrture mplitude modultion (QM)多分转站网 multidrop network多服务器队列 multiserver queue多工 multiplexing多工器 nultiplexer多功能系统 MRS多级处理 multilevel processing多级互连网络 multistge interconnecting network 多级卫星线路 multi-stellite link多径 multipth多径传播 multipth propgtion多径传播函数 nultipth propgtion function 多径分集 multipth diversity 多径时延 multipth dely多径衰落 multipth fding多径效应 multipth effect多路复接 multiplexing多路接入 multiple ccess多路信道 multiplexor chnnel多脉冲线性预测编码 multi-pulse LPC (MPLC) 多频信令 multifrequency signlling多普勒频移 Doppler shift多跳路径 multihop pth多信道选取 multichnnel ccess (MC)多信道自动拨号移动通信系统multiple-chnnel mobile communiction system with utomtic diling多优先级 multiple priority levels多帧 multifrme多址呼叫 multiddress cll多址联接 multiple ccess多重时帧 multiple timefrme多用户信道 multi-user chnnelE额定带宽 rted bndwidth额定射频输出功率 rted rdio frequency output power 额定使用范围 rted operting rnge额定音频输出功率 rted udio-frequency output power 额定值 rted vlue 爱尔兰 erlng恶意呼叫识别 mlicious cll identifiction (MCI) 耳机(受话器) erphone 耳机额定阻抗 rted impednce of erphone 二十进制码 binry-coded deciml (BCD) code 二十进制转换 binry-to-deciml conversion 二十六进制转换 binry-to-hexdeciml conversion 二进制码 binry code二进制频移键控 binry frequency shift keying (BFSK) 二进制数 binry figure二频制位 binry digit(bit)二频制 two-frequency system二维奇偶验码 horizontl nd verticl prity check code 二线制 two-wire system二相差分相移键控 binry different phse shift keying (BDPSK)二相相移键控 binry phse shift keying (BPSK)F发报机 telegrph trnsmitter发射 emisssion发射(或信号)带宽 bndwidth of n emission (or signl) 发射机 trnsmitter 发射机边带频谱 trnsmitter sidebnd spectrum 发射机额定输出功率 rted output power of trnsmitter 发射机合路器 trnsmitter combiner 发射机冷却系统 cooling system of trnsmitter 发射机启动时间trnsmitter ttck time发射机效率 trnsmitter frequency发射机杂散躁声 spurious trnsmitter noise 发射机之间的互调 iner-trnsmitter intermodultion 发射机对答允许频(相)偏trnsmitter mximum permissible frequency(phse) devition发射类别 clss of emission发射频段 trnsmit frequency bnd发射余量 emission mrgin发送 sending发送响度评定值 send loudness rting (SLR) 繁忙排队/自动回叫 busy queuing/ cllbck 反馈控制系统 feedbck control system 反射功率 reflection power反射卫星 reflection stellite反向话音通道 reverse voice chnnel (RVC) 反向控制信道 reverse control chnnel (RECC) 泛欧数字无绳电话系统 digitl Europen cordless telephone 方舱 shelter方向性系数 directivity of n ntenn防爆电话机 explosion-proof telephone set 防潮 moisture protection 防腐蚀 corrosion protection防霉 mould proof仿真头 rtificil hed仿真耳 rtificil er仿真嘴 rtificil mouth仿真天线 dummy ntenn放大器 mplifier放大器线性动态范围 liner dynmic rnge of mplifier 放电 dischrge放电电压 dischrge voltge放电深度 depth of dischrge放电率 dischrge rte放电特性曲线 dischrge chrcter curve 非等步的 nisochronous非归零码 nonreturn to zero code (NRZ) 非均匀编码 nonuniform encoding 非均匀量化 nonuniform quntizing非连续干扰discontinuous disturbnce “非”门 NOT gte非强占优先规则 non-preemptive priority queuing discipline非受控滑动 uncontrolled slip非线性电路 nonliner circuit非线性失真 nonlier distortion非线性数字调制 nonliner digitl modultion 非占空呼叫建立 off-ir-cll-set-up (OCSU) 非专用控制信道 non-dedicted control chnnel 非阻塞互连网络non-blocking interconnection network分贝 decibel (dB)分辨力 resolution分布参数网络 distributed prmeter network 分布式功能 distributed function分布式数据库 distributed dtbse分别于是微波通信系统 distributed microwve communiction system分布式移动通信系统 distributed mobile communiction system分布路线 distribution link分段加载天线 sectionl loded ntenn 分机 extension分集 diversity分集改善系数 diversity improvement fctor 分集间隔 diversity seprtion 分集增益 diversity gin分集接收 diversity reception分接器 demultiplexer分频 frequency division分散定位 distributed chnn。
半导体制造专业英语术语
![半导体制造专业英语术语](https://img.taocdn.com/s3/m/e790bcc0a32d7375a51780a2.png)
球栅阵列舞厅式布局,超净间的布局 圆桶型反应室 阻挡层金属势垒电压backing film 背膜baffle vt ・ 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇 编 (挡片块)丨 基极,基区 batch 批 bay and chase beam blow-up离子束膨胀 beam deceleration 束流减速分类代码号双极双极技术(工艺) bird ' s beak effect 鸟嘴效应blanket deposition 均厚淀积blower增压泵boat 舟BOE 氧化层刻蚀缓冲剂Bon voyage [法]再见,一路顺风[平安]bonding pads 压点bonding wire 焊线,引线boron(B) 硼boron trichloride(BCL3) 三氯化硼boron trifluoride (B F3)三氟化硼borophosphosilicate glass(BPSG)硼磷硅玻璃borosilicate glass(BSG) 硼硅玻璃bottom antireflective coating(BARC)下减反射涂层boule单晶锭bracket n.墙上凸出的托架,括弧,支架v.括在一起breakthrough step 突破步骤,起始的干法刻蚀步骤brightfield detection 亮场检查brush scrubbing 涮洗bubbler 带鼓泡槽buffered oxide etch(BOE) 氧化层腐蚀缓冲液bulk chemical distribution 批量化学材料配送bulk gases 大批气体bulkhead equipment layout 穿壁式设备布局bumped chip 凸点式芯片buried layer 埋层burn-box 燃烧室(或盒) burn-in 老化CCA 化学放大(胶) cantilever n. 建]悬臂cantilever paddle 悬臂桨cap oxide 掩蔽氧化层capacitance 电容capacitance-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器carbon tetrafluoride(CF4) 四氟化碳caro ' s acid3 号液carrier 载流子carrier-depletion region 载流子耗尽层carrier gas 携带气体cassette (承)片架cation 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD- SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面center slow 中心慢速central processing unit(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Channel 沟道channel length 沟道长度channeling 沟道效应charge carrier 载流子chase技术夹层chelating agent 螯合齐ijchemical amplification(CA) 化学放大胶chemical etch mechanism 化学刻蚀机理chemical mechanical planarization(CMP) 化学机械平坦化chemical solution 化学溶液chemical vapor deposition(CVD) 化学气相淀积chip 芯片chip on board(COB)板上芯片chip scale package(CSP)芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级另卩cleanroom 净化间cleanroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficient n. [数]系数Coefficient of thermal expansion(CTE)热涨系数Coherence probe microscope 相干探测显微镜Coherent light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputtering 准直溅射Compensate v.偿还,补偿,付报酬Compound semiconductor 化合物半导体Concentration 浓度Condensation 浓缩Conductor 导体constantly adv・不变地,经常地,坚持不懈地Confocal microscope 共聚焦显微镜Conformal step coverage 共型台阶覆盖Contact 接触(孔)Contact alignment 接触式对准(光刻)Contact angle meter 接触角度仪Contamination 沾污、污染conti boat 连柱舟conticaster [冶]连铸机Continuous spray develop 连续喷雾显影Contour maps 包络图、等位图、等值图Contrast 对比度、反差contribution n.捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVD Copper interconnect 铜互连Cost of ownership(COO) 业主总成本Covalent bond 共价键Critical dimension 关键尺寸Cryogenic aerosol cleaning 冷凝浮质清洗Cryogenic pump(cryopump) 冷凝泵Crystal 晶体Crystal activation 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orientation 晶向CTE 热涨系数Current-driven current amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamascene 大马士革工艺darkfiled detection 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects density 缺陷密度defect 缺陷deglaze 漂氧化层degree of planarity(DP) 平整度dehydration bake 去湿烘培,脱水烘培density 密度deplention mode 耗尽型degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt ・存放,堆积vi.沉淀deposition 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT)可测试设计desorption 解吸附作用develop inspect 显影检查development 显影developer 显影液deviation n.背离device isolation 器件隔离device technology 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosilane(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alignment 逐个芯片对准dielectric 介质dielectric constant 介电常数die matrix 芯片阵列die separation 分片diffraction 衍射diffraction-limited optics 限制衍射镜片diffusion 扩散diffusion controlled 受控扩散digital/analog数字/模拟digital circuit diluent direct chip attach( DCA) directionality discrete dishing dislocation dissolution ratedissolution rate monitor(DRM) 溶解率监测DNQ-novolak 重氮柰醌一酚醛树脂Donor 施主dopant profile 掺杂刨面) doped虚拟的, region 掺杂区 doping 掺杂 dose monitor剂量检测仪 dose,Q 剂量 downstream reactor 顺流法反应 drain 漏 drive-in推进 dry etch 干法刻蚀 dry mechanical pump干式机械泵 dry oxidation 干法氧化dummy n.哑巴,傀儡,假人,假货 adj. 假的,虚构的 n.[计]哑元 dynamic adj. 动力的,动力学的,动态的 E economies of scale 规模经济 edge bead removal 边缘去胶 edge die 边缘芯片edge exclusion 无效边缘区域 electrically erasable PROM 电可擦除 EPROM electrode 电极 electromigration 电迁徙 electron beam lithography 电子束光刻electron cyclotron resonance 电子共振回旋加速器 electron shower 电子簇射,电子喷淋 electron stopping 电子阻止 electronic wafer map 硅片上电性能分布图 electroplating 电镀 electropolishing 电解拋光electrostatic chuck 静电吸盘 electrostatic discharge(ESD)静电放电 ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极 endpoint detection 终点检测 engineering n.工程(学) electrostatic discharge(EDX)能量弥散谱仪 enhancement mode 增强型 epi 夕卜延epitaxial layer 夕卜延层epoxy underfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器erosion腐蚀,浸蚀establish vt・建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch uniformity 刻蚀均匀性etchant 刻蚀剂etchback planarization 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporation 蒸发even adj.平的,平滑的,偶数的,一致的,平静的,恰好的,平均的,连贯的adv.[加强语气]甚至(・・・也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量extraction electrode 吸极extreme UV 极紫外线extrinsic silicon 掺杂硅F Fables无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furnaces 快速升降温炉fault model 失效模式FCC diamond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s lawsFICK 定律field-effect transistor 场效应晶体管field oxide 场氧化field-by-field alignment 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packaging 最终装配和圭寸装final test 终测first interlayer dielectric(ILD-1)第一层层间介质fixed oxide charge 固定氧化物电荷flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal length 焦距focal plane 焦平面focal point 焦点focus聚焦focus ion beam(FIB) 聚焦离子束footprint 占地面积formula n.公式,规则,客套语forward bias 正偏压four-point probe 四探针frenkel defect Frenkel 缺陷front-opening unified pod(FOUP)前开口盒functional test 功能测试furnace flat zone 恒温区G g-line G 线gallium(Ga)镓gallium arsenide(GaAs)砷化镓gap fill间隙填充gas 气体gas cabinet 气柜gas manifold 气瓶集装gas phase nucleation 气相成核gas purge 气体冲洗gas throughput 气体产量gate 栅gate oxide 栅氧化硅gate oxide integrity 栅氧完整性germanium(Ge) 错getter 俘获glass玻璃glazing 光滑表面global alignment 全局对准global planarization 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grown oxide layer 热氧化生长氧化层HHalogen 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic sealing 密圭寸heteroepitaxy 异质外延heterogeneous reaction 异质反应hexamethyldisilazane(HMDS)六甲基二硅氨烷high-density plasma(HDPCVD) 高密度等离子体化学气相淀积高温扩散炉 high-density plasma etch 高密度等离子刻蚀 high-pressure oxidation 高压氧化high-temperature diffusion furnace high vacuum 高真空 high vacuum pumps 高真空泵 hillock 小丘(铝)尖刺 homoepitaxy 同质外延 homogeneous reaction 同质反应 horizontal adj.地平线的,水平的 horizontal furnace 臣卜式炉 hot electron 热电子 hot wall 热壁 hydrochloric acid(HCL)盐酸 hydrofluoric acid(HF)氢氟酸 hydrogen(H2)氢气 hydrogen chloride(HCL)氯化氢 hydrogen peroxide(H2O2)双氧水 hydeophilic 亲水性 hydrophobic 憎水性,疏水性 hyperfiltration 超过滤Ii-line I 线IC packaging 集成电路封装IC reliability 集成电路可靠性 Iddq testing 静态漏电流测试 image resolution 图象清晰度 图象分解力implant v.灌输(注入) impurity 杂质 increment n.增力口,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母 in situ measurements 在线测量 index of refraction 折射率 indium 铟 inductively coupled plasma (ICP )电感耦合等离子体 inert gas惰性气体infrared interference 红外干涉ingot 锭ink mark墨水标识in-line parametric test 在线参数测试input/output(I/O)pin 输入/ 输出管脚institute n. 学会,学院,协会vt.创立,开始,制定,开始(调查),提起(诉讼) insulator 绝缘体integrate vt.使成整体,使一体化,求…的积分v.结合integrated circuit(IC)集成电路integrated measurement tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连interconnect delay 互连连线延迟interface-trapped charge 界面陷阱电荷interferometer 干涉仪interlayer dielectric(ILD) 层间介质interstitial 间隙(原子) intrinsic silicon 本征硅invoke v.调用ion 离子ion analyzer 离子分析仪ion beam milling or ion beam etching(IBE) 离子铣或离子束刻蚀ion implantation 离子注入ion implantation damage 离子注入损伤ion implantation doping 离子注入掺杂ion implanter离子注入机ion projection lithography(IPL) 离子投影机PVD ionization 离子化ionized metal plasma PVD 离子化金属等离子IPA vapor dry 异丙醇气相干燥isolation regions 隔离区isotropic etch profile各向同性刻蚀刨面JJEFT结型场效应管junction(pn) PN 结junction depth 结深junction spiking 结尖刺KKelvin绝对温度killer defect致命缺陷kinetically controlled reaction 功能控制效应L laminar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusion 横向扩散law of reflection 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compaction 透镜收缩light 光light intensity 光强light scattering 光散射lightly doped drain(LDD) 轻掺杂漏linear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区linewidth 线宽liquid 液体lithography 光刻loaded brush沾污的毛刷loaded effect 负载效应loadlock真空锁local interconnect(LI)局部互连local planarization 局部平坦化local oxidation of silicon(LOCOS)硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD) 低压化学气相淀积LSI大规模集成电路Mmagnetic CZ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputtering 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow controller(MFC) 质量流量计mass spectrometer 质谱仪mass-transport limited reaction 质量传输限制效应mathematical adj.数学的,精确的mean free path(MFP) 平均自由程medium vacuum 中真空adj. megasonic cleaning 超声清洗melt熔融membrane contactor薄膜接触器,隔膜接触器membrane filter薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metal contact 金属接触孔metal impurities 金属杂质metal stack复合金属,金属堆叠metallization 金属化metalorganic CVD金属有机化学气相淀积metrology 度量衡学microchip微芯片microdefect 微缺陷microlithography 微光刻microloading微负载,与刻蚀相关的深宽比micron微米microprocessor n.[计]微处理器microprocessor unit 微处理器microroughness 微粗糙度Miller indices 密勒指数minienvironment 微环境minimum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ionic contaminants(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt・更改,修改v.修改molecular beam epitaxy (MBE) 分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,样片monocrystal 单晶monolithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor curreant endpoint 电机电流终点检测(法) MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multilenel metallization 多重金属化Murphy's model 墨菲模型N nanometer(nm)纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negatine resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受) negatine resist development 负性光刻胶显影neutral beam trap 中性束陷阱next-generation lithography 下一代光刻技术nitric acid(HNO3)硝酸nitrogen(N2)氮气nitrogen trifluoride(NF3) 三氟化氮nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管noncritical layer 非关键层nonvolatile memory 非挥发性存储器normality 归一化notch 定位槽novolak苯酚甲醛聚树脂材料npn npn 型(三极管) n-type silicon n 型硅nuclear stopping 离子终止nucleation 成核现象,晶核形成nuclei coalescence 核合并numericalaperture(NA) 数值孑L径n-well n 阱Oobjective (显微镜的)物镜off-axis illumination(OAI) 偏轴式曝光,离轴式曝光ohmic contact 欧姆接触op amp 运算放大器optical interferometry endpoint 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correction(OPC)光学临近修正optical pyrometer 光学高温计optics 光学organic compound 有机化合物氧化诱生层积 vi.划桨,戏 out-diffusion 反扩散 outgassing 除气作用 overdrive 过压力 overetch step 过刻蚀 overflow rinser 溢流清洗 overlay accuracy 套准精度 overlay budget 套准偏差 overlay registration 套刻对准 oxidation 氧化 oxidation-induced stacking faults(OISF) 缺陷,氧化诱生堆垛层错 oxide 氧化物、氧化层、氧化膜 oxidezer 氧化齐ij oxide-trapped charge 氧化层陷阱电荷 ozone(O3)臭氧Ppackage 封装管壳 pad conditioning 垫修整 pad oxide 垫氧化膜 paddle 悬臂 n.短桨,划桨,明轮翼 水,涉水 vt ・用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(planar)reactor 平板反应parallel testing 并行测试 parameter 参数parametric test 参数测试 parasitic 寄生parasitic capacitance 寄生电容 parasiticresistance 寄生电阻 parasitic transistor 寄生电阻器 partial pressure 分压 particledensity 颗粒密度 particle per wafer perpass(PWP)每步每片上的颗粒 数passivation 钝化 passivation layer 钝化层passive components 无源元件pattern sensitivity 图形灵敏性patterned etching 图形刻蚀pattern wafer 带图形硅片patterning 图形转移,图形成型,刻印pc board 印刷电路版完成任务 p-channel MOSFETp 沟道 MOSFET PCM 工艺控制监测 PEB 曝光后烘焙 PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜 pentavalent 五价元素 perform vt ・ 履行,执行,表演,演出 v. performing adj. 表演的,履行的 perimete array 周边阵列式(圭寸装) pH scale pH 值 phase-shift mask(PSM) 相移掩膜技术 phosphine(PH3) 磷化氢 phosphoric acid(H3PO4)磷酸 phosphorus(P)磷 phosphorus oxychloride(POCL3)三氯氧磷 phosphosilicate glass(PSG)磷硅玻璃 photoacid generator(PAG)光酸产生剂 photoacoustics 光声的 photoactive compound(PAC)感光化合物 photography n.摄影,摄影术 光刻photolithography 光刻(技术) photomask 光掩膜 photoresist 光刻胶 photoresist stripping 去胶、光刻胶去除 physical etch mechanism 物理刻蚀机理 physical vapor deposition(PVD)物理气相淀积 pigtail 引出头 pin grid array(PGA) 针栅阵列式(封装)pinhole 针孑 L piranha 3 号液 pitch 间距 planar 平面 planar capacitor 平面电容 planar process 平面工艺 planarization 平坦化 plasma 等离子体 n.[解]血浆,乳浆,[物]等离子体,plasma-induced damage 等离子体诱导损伤plasma potential distribution 等离子体势分布plastic dual in-line package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packaging 塑料圭寸装plug塞,填充vt.堵,塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisson's model 泊松模型polarization 极化,偏振polarized light 极化光,偏振光polish拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishing loop 磨拋循环polishing pad 拋光(衬)垫polycide 多晶硅化物光刻胶显影post-develop inspection 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphization 预非晶化precursor 先驱物predeposition 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orientation flat 主定位边print bias光刻涨缩量printed circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober探针台process 工艺process chamber工艺腔,工艺反应室process chemical 工艺化学process control monitor(PCM)工艺控制监测(图形) process latitude工艺水平,工艺能力process recipe 工艺菜单programmable arraylogic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt・提示,鼓动,促使, (给演员)提白adj.敏捷的,迅速的,即时的adv.准时地n. DOS命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportional band 比例区,比例带,比例尺范围proximityaligner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率punchthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyrogenic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass analyzer (QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发random access memory(RAM) 随机存储器range射程rapid thremal anneal(RTA) 快速热退火rapid thermal processor(RTP)快速热处理RCA clean RCA 清洗reaction rate limited 反应速率限制reactive ion etch(RIE)反应离子刻蚀reactivity 反应性reactor反应室,反应腔read-only memory(ROM)只读存储器recombination 复合redistribution 再分布reflection spectroscopy 反射光谱仪reflective notching 反射开槽reflow回流refraction 折身寸refractory metal 难融金属regeneration 再生regeneration套准精度relative index of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt・重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA)残余气体分析器resist光刻胶resist development 光刻胶显影resistance 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO)反向渗透RF射频RF sputtering射频溅射rinse v嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughing pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scaling按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪scanning electron microscope(SEM)扫描电子显微镜scanning projection aligner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层scribe line 戈H 片道scribe line monitor(SLM)戈J片线监测scumming 底膜secondary electron 二次电子secondary electron flood 二次电子流secondary ion mass spectrometry(SIMS)二次离子质谱 (法) seed' s model SEE 模型selective etching 选择性刻蚀selective oxidation 选择性氧化selectivity 选择性semiconductor grade silicon 半导体极硅semiconductor 半导体sensitivity 灵敏度shallow trench isolation(STI)浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity,方块电阻率shot size胶(点)尺寸shrinking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon nitride(SI3N4)氮化硅silicon on sapphire 蓝宝石伤硅silicon on insulator(SOI)绝缘体上硅silicontetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅single crystal silicon 单晶硅silylation硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料single crystal 单晶slip滑移slurry磨料SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solvent 溶齐ijSOS蓝宝石上硅Source 源source drain implants 源漏注入spacer n.取间隔的装置,逆电流器spatial coherence 空间相干spatial signature analysis 空间信号分析specialty gase 特种气体species 种类specific gravity 比重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪spin coating光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric(SOD)旋转介质法spin-on-glass(SOG)旋转玻璃法spray cleaning 喷雾清洗spray rinser喷雾清洗槽spreading resistance probe 扩散电阻探测sputter n・喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputtering 溅射sputter etch溅射刻蚀sputtered aluminum 溅射铝sputtering yield 溅射产额SSI小规模集成电路stacking fault层积缺陷,堆垛层错standard clean 1(SC-1) 1 号清洗液standard clean 2(SC-2) 2 号清洗液standard mechanical interface(SMIF)机械标准接口standing wave 驻波static RAM静态存储器statistical process control ( SPC)统计过程控制step coverage台阶覆盖step height台阶高度step-and-repeat aligner 分步重复光刻机step-and-scan system步进扫描光刻机stepper步进光刻机stepping motor driver步进电机驱动器电路stepper步进光刻机stoichiometry化学计量(配比) staggle投射标准偏差stress应力striation 条纹strip vt・剥,剥去n. 条,带stripping 去胶structure 结构subatmospheric CVD亚大气压化学气相淀积submicron 亚微米sub-quarter micron 亚0・25微米substrate 衬底sublimation 升华substitutional atom 替位原子subtract v (〜from)减去,减subwaverlength lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage 测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompression bonding 热压键合thermocouple 热电偶thermogravimetric analysis (TGA) 热重量分析thermosonic bonding 热超声键合thin film 薄膜thin small outline package(TSOP)薄小型圭寸装川-V compound 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS(TOF -SIMS) 飞行时间二次离子质谱titanium silicide 钛硅化合物TLV极限域值top surface imaging 上表面图形topography 形貌torr 托toxic有毒track system(also track) 轨道系统transient enhanced diffusion(TED)瞬时增强扩散transistor 晶体管trench 槽trench capacitor 槽电容trichlorosilane(TCS or SiHCL3)三氯氢硅triode planar reactor三真空管平面反应室triple well 三阱trivalent 三价tungsten(W)钨tungsten stch back 钨反刻tungsten hexafluoride(WF6)六氟化钨tungstenplug钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin planes(twinning) 双平面twin-well(twin-tub)双阱UULSI甚大规模集成电路ultralow penetration air(ULPA)超低穿透空气ultrafiltration 超过滤ultrafine particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow junction 超声键合(压焊) ultraviolet 紫外线undercut 钻蚀uniformity 均匀性unit cell元包,晶胞unpatterned etching(spripping)无图形刻蚀(剥离) unpatterned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE)气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜vaporization 气化variable n.[数]变数,可变物,变量adj. 可变的,不定的,。
半导体行业的英单词和术语
![半导体行业的英单词和术语](https://img.taocdn.com/s3/m/331bc72ff342336c1eb91a37f111f18583d00cde.png)
半导体行业的英单词和术语1. Semiconductor(半导体):指一种导电性能介于导体和绝缘体之间的材料,广泛应用于电子器件中。
3. Integrated Circuit(集成电路):简称IC,将大量的微小电子元件(如晶体管、电阻、电容等)集成在一块半导体芯片上。
4. Transistor(晶体管):一种半导体器件,具有放大信号和开关功能,是现代电子设备的基础组件。
5. Diode(二极管):一种具有单向导通特性的半导体器件,常用于整流、稳压等电路。
6. MOSFET(金属氧化物半导体场效应晶体管):一种常见的晶体管类型,广泛应用于放大器和开关电路。
7. CMOS(互补金属氧化物半导体):一种集成电路技术,采用NMOS和PMOS晶体管组合,具有低功耗、高集成度等优点。
8. Wafer(晶圆):指经过切割、抛光等工艺处理的半导体材料,用于制造集成电路。
9. Photolithography(光刻):在半导体制造过程中,利用光刻技术将电路图案转移到晶圆上的过程。
10. Etching(刻蚀):在半导体制造过程中,通过化学反应或物理方法去除晶圆表面不需要的材料。
11.掺杂(Doping):在半导体材料中引入其他元素,以改变其导电性能。
12. Chip(芯片):指经过封装的集成电路,是电子设备的核心组成部分。
13. PCB(印刷电路板):一种用于支撑和连接电子元件的板材,上面布满了导电线路。
14. Moore's Law(摩尔定律):指集成电路上可容纳的晶体管数量大约每两年翻一番,预测了半导体行业的发展趋势。
15. EDA(电子设计自动化):指利用计算机软件辅助设计电子系统,包括电路设计、仿真、验证等环节。
16. Foundry(代工厂):专门为其他公司生产半导体芯片的企业。
17. Semiconductor Equipment Manufacturer(半导体设备制造商):为半导体行业提供生产设备的公司。
半导体专业术语英语..
![半导体专业术语英语..](https://img.taocdn.com/s3/m/17316f366d85ec3a87c24028915f804d2b16876d.png)
半导体专业术语英语半导体是当今最重要的技术领域之一。
随着半导体技术的不断发展,半导体专业术语英语越来越重要。
在本文中,我们将介绍一些常见的半导体专业术语英语,帮助读者更好地理解和掌握半导体技术。
基本概念1.Semiconductor:半导体2.Doping:掺杂3.Carrier:载流子4.Hole:空穴5.Electron:电子6.Bandgap:能隙7.Mobility:迁移率8.Resistivity:电阻率9.Conductivity:电导率10.PN Junction:PN结11.Schottky Junction:肖特基结半导体晶体结构1.Crystal:晶体ttice:晶格3.Unit Cell:单元胞4.Wafer:晶片5.Silicon Wafer:硅晶片6.Epitaxy:外延7.Deposition:沉积8.Etch:蚀刻9.Annealing:退火典型器件1.Transistor:晶体管2.Diode:二极管3.Capacitor:电容器4.Resistor:电阻器5.Inductor:电感器6.MOSFET:MOS场效应晶体管7.BJT:双极性晶体管8.LED:发光二极管9.IGBT:绝缘栅双极晶体管10.SCR:可控硅制程工艺1.Lithography:光刻2.Ion Implantation:离子注入3.Chemical Vapor Deposition (CVD):化学气相沉积4.Physical Vapor Deposition (PVD):物理气相沉积5.Wet Etch:湿法蚀刻6.Dry Etch:干法蚀刻7.Annealing:退火8.Configurations:构型9.Metrology:计量学10.Yield:良率11.Process Integration:制程集成半导体技术对现代社会的影响越来越大,而英语是半导体专业中的重要工具之一。
学习和掌握半导体专业术语英语,有助于提高在半导体行业的各种交流和合作能力。
半导体工艺中的英语词汇
![半导体工艺中的英语词汇](https://img.taocdn.com/s3/m/e94d479b195f312b3169a59a.png)
AAbrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device合金结器件Aluminum(Aluminium)铝Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog)comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS)砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发BBackground carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR)共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices 结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB)分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice)Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship)爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron Volt (eV)电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error function complement (erfc)余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应Function 函数GGain 增益Gallium-Arsenide(GaAs)砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge)锗Graded 缓变的Graded (gradual)channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应HHardened device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.(H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂质散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET)结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁LLatch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED)发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF)平均工作时间Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM)多芯片模块Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子Optical quenching光猝灭Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化PPackage 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor聚合物半导体Poly-silicon 多晶硅Potential (电)势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB)印制电路板Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM)脉冲宽度调制punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency量子效应Quantum mechanics 量子力学Quasi - Fermi-level准费米能级Quartz 石英RRadiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可*性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅Silicon Nitride(Si3N4)氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT)热Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关TTailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT)薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress)晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的.. Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing)隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch单向开关VVacancy 空位Vacuum 真空Valence(value)band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压WWafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdown 齐纳击穿。
半导体实用工艺中地英语词汇
![半导体实用工艺中地英语词汇](https://img.taocdn.com/s3/m/c3e405e889eb172ded63b7fb.png)
AAbrupt junction 突变结 Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积 Accumulating contact 积累接触Accumulation region 积累区 Accumulation layer 积累层Active region 有源区 Active component 有源元Active device 有源器件 Activation 激活Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带Alloy-junction device合金结器件 Aluminum(Aluminium)铝Aluminum - oxide 铝氧化物 Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃Anneal 退火 Anisotropic 各向异性的Anode 阳极 Arsenic (AS)砷Auger 俄歇 Auger process 俄歇过程Avalanche 雪崩 Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发BBackground carrier 本底载流子 Background doping 本底掺杂Backward 反向 Backward bias 反向偏置Ballasting resistor 整流电阻 Ball bond 球形键合Band 能带 Band gap 能带间隙Barrier 势垒 Barrier layer 势垒层Barrier width 势垒宽度 Base 基极Base contact 基区接触 Base stretching 基区扩展效应Base transit time 基区渡越时间 Base transport efficiency基区输运系数Base-width modulation基区宽度调制 Basis vector 基矢Bias 偏置 Bilateral switch 双向开关Binary code 二进制代码 Binary compound semiconductor 二元化合物半导体Bipolar 双极性的 Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫 Blocking band 阻挡能带Blocking contact 阻挡接触 Body - centered 体心立方Body-centred cubic structure 体立心结构 Boltzmann 波尔兹曼Bond 键、键合 Bonding electron 价电子Bonding pad 键合点 Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器 Boron 硼Borosilicate glass 硼硅玻璃 Boundary condition 边界条件Bound electron 束缚电子 Breadboard 模拟板、实验板Break down 击穿 Break over 转折Brillouin 布里渊 Brillouin zone 布里渊区Built-in 内建的 Build-in electric field 内建电场Bulk 体/体内 Bulk absorption 体吸收Bulk generation 体产生 Bulk recombination 体复合Burn - in 老化 Burn out 烧毁Buried channel 埋沟 Buried diffusion region 隐埋扩散区CCan 外壳 Capacitance 电容Capture cross section 俘获截面 Capture carrier 俘获载流子Carrier 载流子、载波 Carry bit 进位位Carry-in bit 进位输入 Carry-out bit 进位输出Cascade 级联 Case 管壳Cathode 阴极 Center 中心Ceramic 陶瓷(的) Channel 沟道Channel breakdown 沟道击穿 Channel current 沟道电流Channel doping 沟道掺杂 Channel shortening 沟道缩短Channel width 沟道宽度 Characteristic impedance 特征阻抗Charge 电荷、充电 Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒 Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法 Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP)化学机械抛光 Chip 芯片Chip yield 芯片成品率 Clamped 箝位Clamping diode 箝位二极管 Cleavage plane 解理面Clock rate 时钟频率 Clock generator 时钟发生器Clock flip-flop 时钟触发器 Close-packed structure 密堆积结构Close-loop gain 闭环增益 Collector 集电极Collision 碰撞 Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益 Common-mode input 共模输入Common-mode rejection ratio (CMRR)共模抑制比Compatibility 兼容性 Compensation 补偿Compensated impurities 补偿杂质 Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试 /制造Compound Semiconductor 化合物半导体 Conductance 电导Conduction band (edge)导带(底) Conduction level/state 导带态Conductor 导体 Conductivity 电导率Configuration 组态 Conlomb 库仑Conpled Configuration Devices 结构组态 Constants 物理常数Constant energy surface 等能面 Constant-source diffusion恒定源扩散Contact 接触 Contamination 治污Continuity equation 连续性方程 Contact hole 接触孔Contact potential 接触电势 Continuity condition 连续性条件Contra doping 反掺杂 Controlled 受控的Converter 转换器 Conveyer 传输器Copper interconnection system 铜互连系统 Couping 耦合Covalent 共阶的 Crossover 跨交Critical 临界的 Crossunder 穿交Crucible坩埚 Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度 Curvature 曲率Cut off 截止 Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样 Curvature 弯曲Custom integrated circuit 定制集成电路 Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键 Dark current 暗电流Dead time 空载时间 Debye length 德拜长度De.broglie 德布洛意 Decderate 减速Decibel (dB)分贝 Decode 译码Deep acceptor level 深受主能级 Deep donor level 深施主能级Deep impurity level 深度杂质能级 Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体 Degeneracy 简并度Degradation 退化 Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟 Density 密度Density of states 态密度 Depletion 耗尽Depletion approximation 耗尽近似 Depletion contact 耗尽接触Depletion depth 耗尽深度 Depletion effect 耗尽效应Depletion layer 耗尽层 Depletion MOS 耗尽MOSDepletion region 耗尽区 Deposited film 淀积薄膜Deposition process 淀积工艺 Design rules 设计规则Die 芯片(复数dice) Diode 二极管Dielectric 介电的 Dielectric isolation 介质隔离Difference-mode input 差模输入 Differential amplifier 差分放大器Differential capacitance 微分电容 Diffused junction 扩散结Diffusion 扩散 Diffusion coefficient 扩散系数Diffusion constant 扩散常数 Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路 Dipole domain 偶极畴Dipole layer 偶极层 Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体 Direct transition 直接跃迁Discharge 放电 Discrete component 分立元件Dissipation 耗散 Distribution 分布Distributed capacitance 分布电容 Distributed model 分布模型Displacement 位移 Dislocation 位错Domain 畴 Donor 施主Donor exhaustion 施主耗尽 Dopant 掺杂剂Doped semiconductor 掺杂半导体 Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移 Drift field 漂移电场Drift mobility 迁移率 Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化 Dose 剂量Duty cycle 工作周期 Dual-in-line package (DIP)双列直插式封装Dynamics 动态 Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应 Early failure 早期失效Effective mass 有效质量 Einstein relation(ship)爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器Electrode 电极 Electrominggratim 电迁移Electron affinity 电子亲和势 Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气 Electron-grade water 电子级纯水Electron trapping center 电子俘获中心 Electron Volt (eV)电子伏Electrostatic 静电的 Element 元素/元件/配件Elemental semiconductor 元素半导体 Ellipse 椭圆Ellipsoid 椭球 Emitter 发射极Emitter-coupled logic 发射极耦合逻辑 Emitter-coupled pair 发射极耦合对Emitter follower 射随器 Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试 Energy state 能态Energy momentum diagram 能量-动量(E-K)图 Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试 Epitaxial 外延的Epitaxial layer 外延层 Epitaxial slice 外延片Expitaxy 外延 Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error function complement (erfc)余误差函数Etch 刻蚀 Etchant 刻蚀剂Etching mask 抗蚀剂掩模 Excess carrier 过剩载流子Excitation energy 激发能 Excited state 激发态Exciton 激子 Extrapolation 外推法Extrinsic 非本征的 Extrinsic semiconductor 杂质半导体FFace - centered 面心立方 Fall time 下降时间Fan-in 扇入 Fan-out 扇出Fast recovery 快恢复 Fast surface states 快界面态Feedback 反馈 Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布 Femi potential 费米势Fick equation 菲克方程(扩散) Field effect transistor 场效应晶体管Field oxide 场氧化层 Filled band 满带Film 薄膜 Flash memory 闪烁存储器Flat band 平带 Flat pack 扁平封装Flicker noise 闪烁(变)噪声 Flip-flop toggle 触发器翻转Floating gate 浮栅 Fluoride etch 氟化氢刻蚀Forbidden band 禁带 Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应 Function 函数GGain 增益 Gallium-Arsenide(GaAs)砷化钾Gamy ray r 射线 Gate 门、栅、控制极Gate oxide 栅氧化层 Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布 Generation-recombination 产生-复合Geometries 几何尺寸 Germanium(Ge)锗Graded 缓变的 Graded (gradual) channel 缓变沟道Graded junction 缓变结 Grain 晶粒Gradient 梯度 Grown junction 生长结Guard ring 保护环 Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应HHardened device 辐射加固器件 Heat of formation 形成热Heat sink 散热器、热沉 Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂 Hell - effect 霍尔效应Heterojunction 异质结 Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器 Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力 Impact ionization 碰撞电离Impedance 阻抗 Imperfect structure 不完整结构Implantation dose 注入剂量 Implanted ion 注入离子Impurity 杂质 Impurity scattering 杂质散射Incremental resistance 电阻增量(微分电阻) In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物 Induced channel 感应沟道Infrared 红外的 Injection 注入Input offset voltage 输入失调电压 Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分 Interconnection 互连Interconnection time delay 互连延时 Interdigitated structure 交互式结构Interface 界面 Interference 干涉International system of unions国际单位制 Internally scattering 谷间散射Interpolation 内插法 Intrinsic 本征的Intrinsic semiconductor 本征半导体 Inverse operation 反向工作Inversion 反型 Inverter 倒相器Ion 离子 Ion beam 离子束Ion etching 离子刻蚀 Ion implantation 离子注入Ionization 电离 Ionization energy 电离能Irradiation 辐照 Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET)结型场效应管 Junction isolation 结隔离Junction spacing 结间距 Junction side-wall 结侧壁LLatch up 闭锁 Lateral 横向的Lattice 晶格 Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Leakage current (泄)漏电流 Level shifting 电平移动Life time 寿命 linearity 线性度Linked bond 共价键 Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻 Light Emitting Diode(LED)发光二极管Load line or Variable 负载线 Locating and Wiring 布局布线Longitudinal 纵向的 Logic swing 逻辑摆幅Lorentz 洛沦兹 Lumped model 集总模型MMajority carrier 多数载流子 Mask 掩膜板,光刻板Mask level 掩模序号 Mask set 掩模组Mass - action law质量守恒定律 Master-slave D flip-flop主从D触发器Matching 匹配 Maxwell 麦克斯韦Mean free path 平均自由程 Meandered emitter junction梳状发射极结Mean time before failure (MTBF)平均工作时间Megeto - resistance 磁阻 Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化 Microelectronic technique 微电子技术Microelectronics 微电子学 Millen indices 密勒指数Minority carrier 少数载流子 Misfit 失配Mismatching 失配 Mobile ions 可动离子Mobility 迁移率 Module 模块Modulate 调制 Molecular crystal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管 Multiplication 倍增Modulator 调制 Multi-chip IC 多芯片ICMulti-chip module(MCM)多芯片模块 Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片) Negative feedback 负反馈Negative resistance 负阻 Nesting 套刻Negative-temperature-coefficient 负温度系数 Noise margin 噪声容限Nonequilibrium 非平衡 Nonrolatile 非挥发(易失)性Normally off/on 常闭/开 Numerical analysis 数值分析OOccupied band 满带 Officienay 功率Offset 偏移、失调 On standby 待命状态Ohmic contact 欧姆接触 Open circuit 开路Operating point 工作点 Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子 Optical quenching光猝灭Optical transition 光跃迁 Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体 Orientation 晶向、定向Outline 外形 Out-of-contact mask非接触式掩模Output characteristic 输出特性 Output voltage swing 输出电压摆幅Overcompensation 过补偿 Over-current protection 过流保护Over shoot 过冲 Over-voltage protection 过压保护Overlap 交迭 Overload 过载Oscillator 振荡器 Oxide 氧化物Oxidation 氧化 Oxide passivation 氧化层钝化PPackage 封装 Pad 压焊点Parameter 参数 Parasitic effect 寄生效应Parasitic oscillation 寄生振荡 Passination 钝化Passive component 无源元件 Passive device 无源器件Passive surface 钝化界面 Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压 Peak voltage 峰值电压Permanent-storage circuit 永久存储电路 Period 周期Periodic table 周期表 Permeable - base 可渗透基区Phase-lock loop 锁相环 Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导 Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件 Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂 Pin 管脚Pinch off 夹断 Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺 Planar transistor 平面晶体管Plasma 等离子体 Plezoelectric effect 压电效应Poisson equation 泊松方程 Point contact 点接触Polarity 极性 Polycrystal 多晶Polymer semiconductor聚合物半导体 Poly-silicon 多晶硅Potential (电)势 Potential barrier 势垒Potential well 势阱 Power dissipation 功耗Power transistor 功率晶体管 Preamplifier 前置放大器Primary flat 主平面 Principal axes 主轴Print-circuit board(PCB)印制电路板 Probability 几率Probe 探针 Process 工艺Propagation delay 传输延时 Pseudopotential method 膺势发Punch through 穿通 Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM)脉冲宽度调制punchthrough 穿通 Push-pull stage 推挽级QQuality factor 品质因子 Quantization 量子化Quantum 量子 Quantum efficiency量子效应Quantum mechanics 量子力学 Quasi - Fermi-level准费米能级Quartz 石英RRadiation conductivity 辐射电导率 Radiation damage 辐射损伤Radiation flux density 辐射通量密度 Radiation hardening 辐射加固Radiation protection 辐射保护 Radiative - recombination辐照复合Radioactive 放射性 Reach through 穿通Reactive sputtering source 反应溅射源 Read diode 里德二极管Recombination 复合 Recovery diode 恢复二极管Reciprocal lattice 倒核子 Recovery time 恢复时间Rectifier 整流器(管) Rectifying contact 整流接触Reference 基准点基准参考点 Refractive index 折射率Register 寄存器 Registration 对准Regulate 控制调整 Relaxation lifetime 驰豫时间Reliability 可*性 Resonance 谐振Resistance 电阻 Resistor 电阻器Resistivity 电阻率 Regulator 稳压管(器)Relaxation 驰豫 Resonant frequency共射频率Response time 响应时间 Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路 Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷 Saturated current range电流饱和区Saturation region 饱和区 Saturation 饱和的Scaled down 按比例缩小 Scattering 散射Schockley diode 肖克莱二极管 Schottky 肖特基Schottky barrier 肖特基势垒 Schottky contact 肖特基接触Schrodingen 薛定厄 Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶 Segregation 分凝Selectivity 选择性 Self aligned 自对准的Self diffusion 自扩散 Semiconductor 半导体Semiconductor-controlled rectifier 可控硅 Sendsitivity 灵敏度Serial 串行/串联 Series inductance 串联电感Settle time 建立时间 Sheet resistance 薄层电阻Shield 屏蔽 Short circuit 短路Shot noise 散粒噪声 Shunt 分流Sidewall capacitance 边墙电容 Signal 信号Silica glass 石英玻璃 Silicon 硅Silicon carbide 碳化硅 Silicon dioxide (SiO2)二氧化硅Silicon Nitride(Si3N4)氮化硅 Silicon On Insulator 绝缘硅Siliver whiskers 银须 Simple cubic 简立方Single crystal 单晶 Sink 沉Skin effect 趋肤效应 Snap time 急变时间Sneak path 潜行通路 Sulethreshold 亚阈的Solar battery/cell 太阳能电池 Solid circuit 固体电路Solid Solubility 固溶度 Sonband 子带Source 源极 Source follower 源随器Space charge 空间电荷 Specific heat(PT)热Speed-power product 速度功耗乘积 Spherical 球面的Spin 自旋 Split 分裂Spontaneous emission 自发发射 Spreading resistance扩展电阻Sputter 溅射 Stacking fault 层错Static characteristic 静态特性 Stimulated emission 受激发射Stimulated recombination 受激复合 Storage time 存储时间Stress 应力 Straggle 偏差Sublimation 升华 Substrate 衬底Substitutional 替位式的 Superlattice 超晶格Supply 电源 Surface 表面Surge capacity 浪涌能力 Subscript 下标Switching time 开关时间 Switch 开关TTailing 扩展 Terminal 终端Tensor 张量 Tensorial 张量的Thermal activation 热激发 Thermal conductivity 热导率Thermal equilibrium 热平衡 Thermal Oxidation 热氧化Thermal resistance 热阻 Thermal sink 热沉Thermal velocity 热运动 Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术 Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT)薄膜晶体 Threshlod 阈值Thyistor 晶闸管 Transconductance 跨导Transfer characteristic 转移特性 Transfer electron 转移电子Transfer function 传输函数 Transient 瞬态的实用标准文档大全Transistor aging(stress)晶体管老化 Transit time 渡越时间Transition 跃迁 Transition-metal silica 过度金属硅化物Transition probability 跃迁几率 Transition region 过渡区Transport 输运 Transverse 横向的Trap 陷阱 Trapping 俘获Trapped charge 陷阱电荷 Triangle generator 三角波发生器Triboelectricity 摩擦电 Trigger 触发Trim 调配调整 Triple diffusion 三重扩散Truth table 真值表 Tolerahce 容差Tunnel(ing)隧道(穿) Tunnel current 隧道电流Turn over 转折 Turn - off time 关断时间UUltraviolet 紫外的 Unijunction 单结的Unipolar 单极的 Unit cell 原(元)胞Unity-gain frequency 单位增益频率 Unilateral-switch单向开关VVacancy 空位 Vacuum 真空Valence(value) band 价带 Value band edge 价带顶Valence bond 价键 Vapour phase 汽相Varactor 变容管 Varistor 变阻器Vibration 振动 Voltage 电压WWafer 晶片 Wave equation 波动方程Wave guide 波导 Wave number 波数Wave-particle duality 波粒二相性 Wear-out 烧毁Wire routing 布线 Work function 功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdown 齐纳击穿。
半导体专业术语(中英对照)
![半导体专业术语(中英对照)](https://img.taocdn.com/s3/m/d3f2666aabea998fcc22bcd126fff705cc175c27.png)
1
ppt课件
1
2
ppt课件
2
3
ppt课件
34ppt课件45ppt课件
5
6
ppt课件
6
7
ppt课件
7
8
ppt课件
8
此课件下载可自行编辑修改,此课件供参考! 部分内容来源于网络,如有侵权请与我联系删除!感谢你的观看!
•
感 谢 阅 读
感 谢 阅 读
半导体专业词汇汇总
2023最新整理收集 do something
Semiconductor:半导体
MFG (Manufacture):制造部
Wafer :晶片
Boule:晶锭
Ingot:晶棒
As cut wafer:毛片
Particle:含尘量/微尘粒子 Pod :晶盒 Cassette: 晶片夹 Clean Room:洁净室(Class 100000 以上) MO( Miss Operation):误操作 Process Engineering:制程工程师,简称为P.E.简单称为制程。 Equipment Engineering:设备工程师,简称为E.E.简单称为设备。
半导体与封装专业英语常用术语-义守大学
![半导体与封装专业英语常用术语-义守大学](https://img.taocdn.com/s3/m/52a154df240c844769eaeed4.png)
半導體與封裝專業英語常用術語徐祥禎義守大學機械與自動化工程學系【A】Å or Angstrom/埃:m,用來定義長度的一個單位。
其他常用單位與符號縮寫Active component/主動元件:可藉由輸入訊號來使系統作動的電子元件,如電晶體與二極體。
ATC(accelerated thermal cycling)/加速熱循環Alloy/合金:兩種或者兩種以上的元素混合,其中至少有一種為金屬元素。
Ambient/周圍環境:環繞於系統、配件或元件周圍之接觸環境。
Ambient Temperature/環繞溫度:測試接觸之環境氣體其平均溫度。
Analog circuits/類比電路:具有連續輸入與輸出間關係之電路。
ANSI(American National Standards Institute)/美國國家標準協會ASIC(application specific integrated circuit)/特殊用途積體電路AST(accelerated stress testing)/加速應力試驗【B】Batch Manufacturing/批量製造:以群組、大量的方式製造,完成的所有元件皆具有一致性。
Batch Processing/整批處理:當使用特定機具進行連續生產仍無法達到所需要的產品數量時,所使用的一種生產方法。
BEOL(back-end of line)/後段製程線路BGA(ball grid array)/球柵式陣列構裝:一種利用陣列式錫球做為電訊接點,使晶片裝置於基板上之表面構裝技術。
Burn in/預燒:一種加速元件老化之方式,通常是提高溫度、電壓,利用此試驗可使元件特性較穩定,並發現早期破壞之元件。
【C】C4(controlled collapse chip connection)/控制塌陷高度晶片連接:一種由液體焊料之表面張力控制接點連接高度,並支持晶片重量的覆晶式連接方法。
半导体英文词汇
![半导体英文词汇](https://img.taocdn.com/s3/m/cd86e8f6ba0d4a7302763a6f.png)
1 Active Area 主动区(工作区)主动晶体管(ACTIVE TRANSISTOR)被制造的区域即所谓的主动区(ACTIVE AREA)。
在标准之MOS制造过程中ACTIVE AREA是由一层氮化硅光罩即等接氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以ACTIVE AREA会受到鸟嘴(BIRD’S BEAK)之影响而比原先之氮化硅光罩所定义的区域来的小,以长0.6UM之场区氧化而言,大概会有0.5UM之BIRD’S BEAK存在,也就是说ACTIVE AREA比原在之氮化硅光罩所定义的区域小0.5UM。
2 ACTONE 丙酮1. 丙酮是有机溶剂的一种,分子式为CH3COCH3。
2. 性质为无色,具刺激性及薄荷臭味之液体。
3. 在FAB内之用途,主要在于黄光室内正光阻之清洗、擦拭。
4. 对神经中枢具中度麻醉性,对皮肤黏膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸汽会刺激鼻、眼结膜及咽喉黏膜,甚至引起头痛、恶心、呕吐、目眩、意识不明等。
5. 允许浓度1000PPM。
3 ADI 显影后检查 1.定义:After Developing Inspection 之缩写2.目的:检查黄光室制程;光阻覆盖→对准→曝光→显影。
发现缺点后,如覆盖不良、显影不良…等即予修改,以维护产品良率、品质。
3.方法:利用目检、显微镜为之。
4 AEI 蚀刻后检查1. 定义:AEI即After Etching Inspection,在蚀刻制程光阻去除前及光阻去除后,分别对产品实施全检或抽样检查。
2.目的:2-1提高产品良率,避免不良品外流。
2-2达到品质的一致性和制程之重复性。
2-3显示制程能力之指针2-4阻止异常扩大,节省成本3.通常AEI检查出来之不良品,非必要时很少作修改,因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生产成本增高,以及良率降低之缺点。
5 AIR SHOWER 空气洗尘室进入洁净室之前,需穿无尘衣,因在外面更衣室之故,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。
半导体专业术语英语
![半导体专业术语英语](https://img.taocdn.com/s3/m/6d640c3d915f804d2b16c1b9.png)
1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
半导体专业英语词汇手册
![半导体专业英语词汇手册](https://img.taocdn.com/s3/m/6780ba26453610661ed9f4ae.png)
引線框的內腳 步进夹子 电感 紅外線 紅外線回流焊 引线框 管脚长度 托板 烘箱容量 定位孔 機器/機台 料盒 芯片版号(光罩号) 显微镜 混管、混料 氮气柜 目检 最終目檢 外觀檢 外观检 引線框的外腳 烘箱 氧化 产品外形测量 鋁墊/压区尺寸 投影仪 电抗 电阻 随件单 随件单 报废 值班长 出货 镀银层 可焊性 擋板
英文 Air Ionizer Antistatic Bag antistatic gloves Antistatic wrist strip backside metal (Au/Ag) baking / curing bare fingers bond pad bond pad opening capacitance centrifugal drier concentration conductive table mat contamination coplanarity date code deionized water (DI water) Defect Defect Rate Die Bond (DB) Die or chip dispatch sheet dust-free paper ESD (ElectroStatic Discharge) ESD label ESD table mat ESD tester package fine pitch package Finger cots nitrogen gas (N2) flow rate of nitrogen gas (N2) Film frame impedance impulse current
Transit box On line Inspection Qualtity Control (QC) Traveling inspection Tube tweezer viscosity Wafer wafer diameter WIP(Work In Process) Yield yield lose yield lose rate Film frame Cassette Ring cassette Plasma cleaner ejector pin ejector pin hole
半导体工艺词汇
![半导体工艺词汇](https://img.taocdn.com/s3/m/16035262f01dc281e43af00b.png)
半导体工艺词汇Scrubb 【化学】(使)(气体)净化;(从气体中)分离出,提出。
Regulator 校准者;【机械工程】调整器,校准器,调节器;【无线电】稳定器;【化学】调节剂;【代】调节基因;(钟表的)整时器;标准钟Purge 变清净。
AAbrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device合金结器件Aluminum(Aluminium) 铝Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS) 砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发BBackground carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路ComplementaryMetal-Oxide-SemiconductorField-Effect-Transistor(CMOS) 互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制造Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge) 导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices 结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB) 分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice)Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship) 爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron Volt (eV) 电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOSEntefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可擦取(编程)存储器Error function complement 余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应Function 函数GGain 增益Gallium-Arsenide(GaAs) 砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge) 锗Graded 缓变的Graded (gradual) channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应HHardened device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂志散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET) 结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁Latch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常数/晶格缺陷/晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED) 发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF) 平均工作时间Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal分子晶体Monolithic IC 单片ICMOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST ) MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模块Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子Optical quenching光猝灭Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor聚合物半导体Poly-silicon 多晶硅Potential (电)势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB) 印制电路板Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制Punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency量子效应Quantum mechanics 量子力学Quasi – Fermi-level准费米能级Quartz 石英RRadiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可靠性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sensitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT) 热Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关Tailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing) 隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch单向开关VVacancy 空位Vacuum 真空Valence(value) band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压WWafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdown 齐纳击穿Zone melting 区熔法。
半导体工艺词汇
![半导体工艺词汇](https://img.taocdn.com/s3/m/39ab4ae79b89680203d82537.png)
Scrubb 【化学】(使)(气体)净化;(从气体中)分离出,提出。
Regulator 校准者;【机械工程】调整器,校准器,调节器;【无线电】稳定器;【化学】调节剂;【代】调节基因;(钟表的)整时器;标准钟Purge 变清净。
Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device合金结器件Aluminum(Aluminium) 铝Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS) 砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发BBackground carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路ComplementaryMetal-Oxide-SemiconductorField-Effect-Transistor(CMOS) 互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制造Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge) 导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices 结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB) 分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice)Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship) 爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron Volt (eV) 电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOSEntefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可擦取(编程)存储器Error function complement 余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应Function 函数GGain 增益Gallium-Arsenide(GaAs) 砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge) 锗Graded 缓变的Graded (gradual) channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应HHardened device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂志散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET) 结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁Latch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常数/晶格缺陷/晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED) 发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF) 平均工作时间Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal分子晶体Monolithic IC 单片ICMOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST ) MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模块Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子Optical quenching光猝灭Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor聚合物半导体Poly-silicon 多晶硅Potential (电)势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB) 印制电路板Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制Punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency量子效应Quantum mechanics 量子力学Quasi – Fermi-level准费米能级Quartz 石英RRadiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可靠性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sensitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT) 热Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关Tailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing) 隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch单向开关VVacancy 空位Vacuum 真空Valence(value) band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压WWafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdown 齐纳击穿Zone melting 区熔法。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
AAbrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device合金结器件Aluminum(Aluminium)铝Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog)comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS)砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发BBackground carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR)共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices 结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB)分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice)Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship)爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron Volt (eV)电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error function complement (erfc)余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应Function 函数GGain 增益Gallium-Arsenide(GaAs)砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge)锗Graded 缓变的Graded (gradual)channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应HHardened device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.(H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂质散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET)结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁LLatch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED)发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF)平均工作时间Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM)多芯片模块Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子Optical quenching光猝灭Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化PPackage 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor聚合物半导体Poly-silicon 多晶硅Potential (电)势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB)印制电路板Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM)脉冲宽度调制punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency量子效应Quantum mechanics 量子力学Quasi - Fermi-level准费米能级Quartz 石英RRadiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可*性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅Silicon Nitride(Si3N4)氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT)热Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关TTailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT)薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress)晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的精品文档Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing)隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch单向开关VVacancy 空位Vacuum 真空Valence(value)band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压WWafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdown 齐纳击穿精品文档。