8路彩灯控制器实验报告

合集下载

八路彩灯

八路彩灯

河南工业大学EDA技术实验报告专业电科班级1301姓名田学号201316030实验地点6316+ 6515 实验日期2015-11-27成绩评定一、实验项目八路彩灯控制器二、实验目的有八个发光二极管,要求设计花型,使其按照设定的花型随时钟循环点亮。

在Quartus II 中用VHDL语言输入控制器的源程序,然后进行编译,仿真,以保证控制器功能的正确性。

引脚锁定及硬件测试三、实验原理LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY caideng ISPORT (clk: IN STD_LOGIC;A,B,C,D,E,F,G,H: OUT STD_LOGIC );END caideng;ARCHITECTURE cd OF caideng ISTYPE states IS (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11);SIGNAL present_state, next_state: states;BEGINP1: PROCESS(present_state,clk)BEGINIF clk'EVENT AND CLK='1'THENCASE present_state ISWHEN S0=>A<='1';B<='1';C<='1';D<='1';E<='1';F<='1';G<='1';H<='1';next_state<=S1;WHEN S1=>A<='1';B<='1';C<='1';D<='0';E<='0';F<='1';G<='1';H<='1';next_state<=S2;WHEN S2=>A<='1';B<='1';C<='0';D<='0';E<='0';F<='0';G<='1';H<='1';next_state<=S3;WHEN S3=>A<='1';B<='0';C<='0';D<='0';E<='0';F<='0';G<='0';H<='1';next_state<=S4;WHEN S4=>A<='0';B<='0';C<='0';D<='0';E<='0';F<='0';G<='0';H<='0';next_state<=S5;WHEN S5=>A<='1';B<='0';C<='1';D<='0';E<='1';F<='0';G<='1';H<='0';next_state<=S6;WHEN S6=>A<='1';B<='0';C<='1';D<='0';E<='1';F<='0';G<='1';H<='0';next_state<=S7;WHEN S7=>A<='0';B<='1';C<='0';D<='1';E<='0';F<='1';G<='0';H<='1';next_state<=S8;WHEN S8=>A<='0';B<='1';C<='0';D<='1';E<='0';F<='1';G<='0';H<='1';next_state<=S9;WHEN S9=>A<='0';B<='0';C<='0';D<='0';E<='0';F<='0';G<='0';H<='0';next_state<=S10;WHEN S10=>A<='0';B<='0';C<='0';D<='1';E<='1';F<='0';G<='0';H<='0';next_state<=S11;WHEN S11=>A<='0';B<='0';C<='1';D<='1';E<='1';F<='1';G<='0';H<='0';next_state<=S0;END CASE;END IF;END PROCESS P1;P2:PROCESS (clk)BEGINIF clk'EVENT AND clk='1' THENpresent_state<=next_state;END IF;END PROCESS P2;END cd;我设计的八路彩灯控制电路共有三种花型,用状态机结构设计循环彩灯控制器实现三种花型的交替变化,花型一:八个灯全亮,然后从中间向两边依次成对熄灭,直至全灭,花型二:八个灯明暗相隔,每个状态持续两个时钟周期。

微机原理课程设计报告彩灯控制器

微机原理课程设计报告彩灯控制器

专业班级:计算机1301姓名:学号:指导老师:2016年 1 月15 日彩灯控制器一、实验要求、设计目的及功能实现实验要求:现在有8盏彩灯,4个开关作为输入,控制4种闪烁方式。

当没有开关闭合时,4种花型依次轮流闪烁,每种花型闪烁时间持续2分钟,每盏灯点亮的时间为1秒。

只有某一个开关闭合时,对应的花型连续不断的闪烁。

设计实现该功能的电路,并编写完整的代码。

设计目的:(1)巩固和加深微机原理所学知识;(2)学习掌握一般的软硬件的设计方法和查阅、运用资料的能力。

所实现的功能:本课程设计所设计的彩灯控制器的主要功能有:向外发散显示、向中递推显示、0-7依次显示、奇数灯显示,偶数灯显示等功能。

输出采用8个二极管显示,每个灯亮1秒。

二、实验原理在这次课程设计中主要用到了8255A可编程并行接口芯片可用程序来设置芯片的工作方式,通用性强,使用灵活,可为CPU与外设之间提供并行输入/输出的通道。

8254给1S的信号送到8259,再送到8255A控制灯亮的时间。

三、硬件原理图0 0000000000000000000000000008255工作原理及内部结构1.8255A内部结构8255A的内部结构如图2所示,它由4部分组成:(1) 数据总线缓冲器它是一个双向三态8位缓冲器,用作与系统总线连接是的缓冲部件.CPU与8255A之间所有的数据的发送与接收以及CPU向8255A发送的控制信息和8255A向CPU回送的状态信息都是通过它传送的(2)三个8位端口PA、PB、PC端口A(PA口):有一个8位数据输入锁存器和一个8位数据输入锁存/缓冲器;端口B(PB口):有一个8位数据输入缓冲器和一个8位数据输入/输出,锁存/缓冲存储器器;端口C(PC口):有一个8位数据输入缓冲存储器器和一个8位数据输出锁存/缓冲器。

通常PA口与PB口用作输入/输出的数据端口,PC口用作控制和状态信息端口。

PC口可以分为两个四位的端口,每个端口包含一个四位锁存器,可分别同PA 口和PB口配合使用,用作控制信号(输出)或作为状态信号(输入)。

八路彩灯控制器实验

八路彩灯控制器实验
首先点亮第一盏灯在第一盏灯熄灭之后点亮第二盏灯在第二盏灯熄灭之后再去点亮第三盏灯依此类推直到点亮第八盏灯看上去的效果就像亮点从第一盏灯依次流向第八盏灯然后全部熄灭反复循环这一过程
八路彩灯控制器
功能描述:首先点亮第一盏灯,在第一盏灯熄 灭之后,点亮第二盏灯,在第二盏灯熄灭之后,再 去点亮第三盏灯,依此类推,直到点亮第八盏灯, 看上去的效果就像亮点从第一盏灯依次流向第八盏 灯,然后全部熄灭,反复循环这一过程。 用中规模计数器设计该八路彩灯控制电路,要求: (1)每盏灯的点亮时间为100~120ms之间; (2)用555定时器设计时钟脉冲; (3)八路彩灯采用八个发光二极管代替。
A0 A1 A2 S1 S2 S3
P'0 P'1 P'2 P'3 P'4 P'5 P'6 P'7
P'0 P'1 P'2
74HC138
P'3
P'4 P'5
1
P'6
P'7
VCC(+5V) 1 R1 10kΩ
8 4 7 3
CLK
R2 10kΩ
C 22µ F
+
6 2 1 5
0.01µF
0
EP ET CLK D 0 Q0 D 1 Q1 D2 Q2 D3 Q3 C RD LD
74HC161 1
1
T≈ (R1+2R2)C×0.7, T≈462ms,
取R1=R2=10kΩ, C=22µ F
74HC138
1 0 1 0 1 0 1 0
A0 A1 A2 S1 S2 S3
Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7

8路移存型彩灯控制器数字逻辑课程设计报告

8路移存型彩灯控制器数字逻辑课程设计报告

目录摘要 (2)1 绪论 (3)2 总体方案设计 (4)3 单元模块设计 (5)3.1 脉冲信号产生模块 (5)3.2 计数电路模块 (7)3.3 花型控制电路模块 (8)4 系统综述,总体电路图 (10)5 分析总结,体会 (12)参考文献 (14)鸣谢 (14)附录:元器件及工具清单的引脚图和功能表 (15)摘要通过设计多路彩灯系统来加深对主要器件的理解与应用。

本实验主要由振荡电路产生秒脉冲信号,经分频电路、计数电路、花型控制和显示电路后,由发光二极管模拟输出。

通过系统的设计、元件的选取、参数的选取等,来加深对各主要器件引脚分布、功能等的理解,从而提高电子设计能力的整体水平。

进一步掌握数字电路课程所学的理论知识。

熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计,了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

培养认真严谨的工作作风和实事求是的工作态度。

作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。

关键词:脉冲信号;分频电路;计数电路;花环电路;彩灯;技术摘要:1:8路移存型彩灯控制器2:要求彩灯组成两种以上的花型。

3:每种花型连续循环两次,各种花型轮流交替、第一章绪论随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

不论是思想还是视觉,人们都在追求更高的美。

特别是在视觉方面,人们已经不满足于一种光,彩灯的诞生让人们的视觉对美有了更深的认识。

LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑已经成为一种时尚,我们的城市也因为这些彩灯倍显靓丽与青春,人们也倍感生活的快节奏,繁华的生活也在鞭策着人们向前努力奋进、创造更多的财富。

因为彩灯体积小,外观精致,非常适合于节日、婚庆背景布置、歌厅、音乐会、舞台、舞厅宾馆、酒楼、商厦、橱窗、店铺、家具等各种场所,彩灯得到了广泛的应用。

彩灯控制器数字电路实验报告

彩灯控制器数字电路实验报告

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告设计题目彩灯控制器电路设计指导教师职称讲师姓名学号日期2012/7/5彩灯控制器电路设计计算机信息与工程学院 2010级计科师范汉班柴宁娇 20101102059指导老师张鹏举讲师摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。

其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。

主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。

关键词脉冲发生电路;移位寄存器;控制电路;彩灯循环。

1 设计任务及主要技术指标和要求1.1 设计任务要求设计一个能够控制八路彩灯的逻辑电路。

1.2 主要技术指标和要求(1) 要求彩灯组成二种花型。

花型可以自己设置。

例如:花型Ⅰ——由中间到两边对称性依次亮,全亮后由中间向两边依次灭。

花型Ⅱ——8路灯分两半,从左自右顺次亮,再顺次灭。

(2) 要求两种花型交替出现。

2 工作原理要想实现本实验,需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。

时钟脉冲产生电路由脉冲发生器产生连续的脉冲。

循环电路采用74LS161 ,74LS194实现彩灯的循环控制。

具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。

总体电路原理图如下:时钟信号的产生花型的控制电路(74LS161)花型的显示电路花型演示电路(74LS194)图1:总体电路原理图花型控制电路:由74LS161 4位二进制同步计数器完;花型演示电路:由74LS194双向移位寄存器完成(可左移右移完成花型变化)。

3 基本组成3.1 花型控制信号电路由一片74LS161(两种花型每种显示一遍)计数器。

74LS161芯片用的是同步置数,并清零。

八路彩灯实验报告

八路彩灯实验报告

八路彩灯控制系统实验报告姓名:学院:电子工程学院学号:同作人:一实验目的1.熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。

2.熟悉并掌握用移位寄存器设计彩灯控制器的方法。

二设计任务与要求1.彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。

2. 彩灯演示花型为三种(花型自拟)。

3. 彩灯用发光二极管模拟。

要求:即能控制8路LED以两种节拍、三种花型连续循环演示。

三参考器件给定器件为四2输入与非门(74LS00)1只,六反相器(74LS04)1只,二进制同步计数器(74LS161)2只,四位双向移位寄存器(74LS194)2只,四2选1数据选择器(74LS157)1只,555定时器1只,电阻、电容若干四实验要求按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,观察彩灯花型是否正确,如果不正确,排除故障直至正确为止。

最后一步是撰写实验报告、整理文档,对实验进行总结。

五设计说明彩灯控制器原理框图如图5-45所示。

图中,虚线以上为处理器,虚线以下是控制器。

从图5-45可以看出,编码发生器的功能是:根据花型要求按节拍送出8位状态编码信号,以便控制灯的亮灭。

其电路可以选用四位双向移位寄存器来实现。

八路灯用两片移位寄存器级联就可以实现。

缓冲驱动电路的功能是:提供彩灯所需要的工作电压和电流,隔离负载对编码发生器工作的影响。

彩灯控制器对定时器的要求不高,振荡器可采用环形振荡器或555定时器来实现。

控制电路为编码发生器提供所需要的节拍脉冲和控制信号,以同步整个系统工作。

最终效果1. 从左至右顺次渐亮,全亮后逆序渐灭;2. 中间到两边对称地逐渐渐亮,全亮后,再由中间向两边逐一熄灭;3. 八路灯分两半,从左至右顺次渐亮,全亮后全灭。

原理框图VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng isport(clk:in std_logic;en:in std_logic;clr:in std_logic;l:out std_logic_vector(7 downto 0)--control signal of the balucaideng );end balucaideng;architecture one of balucaideng issignal count_q:integer range 0 to 86;begincounter:process(clk,clr)beginif(clr='0')thencount_q<=0;elsif(clk'event and clk='1')thenif(en='1')thenif(count_q<28)thencount_q<=count_q+1;elsecount_q<=0;end if;end if;end if;end process counter;table:process(count_q)begincase count_q iswhen 0=>l<="10000000";when 1=>l<="11000000";when 2=>l<="11100000";when 3=>l<="11110000";when 4=>l<="11111000";when 5=>l<="11111100";when 6=>l<="11111110";when 7=>l<="11111111";when 8=>l<="11111110";when 9=>l<="11111100";when 10=>l<="11111000";when 11=>l<="11110000";when 12=>l<="11100000";when 13=>l<="11000000";when 14=>l<="10000000";when 15=>l<="00000000";when 16=>l<="00011000";when 17=>l<="00111100";when 18=>l<="01111110";when 19=>l<="11111111";when 20=>l<="00011000";when 21=>l<="11000011";when 22=>l<="10000001";when 24=>l<="10001000"; when 25=>l<="11001100"; when 26=>l<="11101110"; when 27=>l<="11111111"; when 28=>l<="00000000"; --0.25swhen 29=>l<="10000000"; when 30=>l<="10000000"; when 31=>l<="11000000"; when 32=>l<="11000000"; when 33=>l<="11100000"; when 34=>l<="11100000"; when 35=>l<="11110000"; when 36=>l<="11110000"; when 37=>l<="11111000"; when 38=>l<="11111000"; when 39=>l<="11111100"; when 40=>l<="11111100"; when 41=>l<="11111110"; when 42=>l<="11111110"; when 43=>l<="11111111"; when 44=>l<="11111111"; when 45=>l<="11111110"; when 46=>l<="11111110"; when 47=>l<="11111100"; when 48=>l<="11111100"; when 49=>l<="11111000"; when 50=>l<="11111000"; when 51=>l<="11110000"; when 52=>l<="11110000"; when 53=>l<="11100000"; when 54=>l<="11100000"; when 55=>l<="11000000"; when 56=>l<="11000000"; when 57=>l<="10000000"; when 58=>l<="10000000"; when 59=>l<="00000000"; when 60=>l<="00000000"; when 61=>l<="00011000"; when 62=>l<="00011000"; when 63=>l<="00111100"; when 64=>l<="00111100"; when 65=>l<="01111110";when 67=>l<="11111111";when 68=>l<="11111111";when 69=>l<="00011000";when 70=>l<="00011000";when 71=>l<="11000011";when 72=>l<="11000011";when 73=>l<="10000001";when 74=>l<="10000001";when 75=>l<="00000000";when 76=>l<="00000000";when 77=>l<="10001000";when 78=>l<="10001000";when 79=>l<="11001100";when 80=>l<="11001100";when 81=>l<="11101110";when 82=>l<="11101110";when 83=>l<="11111111";when 84=>l<="11111111";when 85=>l<="00000000";when 86=>l<="00000000";--0.5swhen others =>l<="11111111";end case;end process table;end one;仿真波形花型一花型二花型三。

多路彩灯控制器8路移存型彩灯控制器数字电路课程设计实验报告

多路彩灯控制器8路移存型彩灯控制器数字电路课程设计实验报告

多路彩灯控制器的设计一课程设计题目(与实习目的)(1)题目:多路彩灯控制器(2)实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。

二任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。

因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。

(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,要求:1. 彩灯实现快慢两种节拍的变换;2. 8路彩灯能演示三种花型(花型自拟);3. 彩灯用发光二极管LED模拟;4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。

(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3. 注意布线,要直角连接,选最短路径,不要相互交叉;4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。

三总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。

第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。

主体框图如下:方案二:在方案一的基础上将整体电路分为四块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。

并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

主体框图如下:(2)总体方案的选择方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。

这样设计,其优点在于:设计思想比较简单。

8路彩灯设计报告解析

8路彩灯设计报告解析

设计报告课程名称在系统编程技术任课教师设计题目多路彩灯控制器的设计班级姓名学号日期目录一、题目分析 (1)1.1总体方框图2010-6-1611.2具体功能要求 (1)二、系统设计方案 (2)三、主要模块设计 (2)3.1、时序控制模块 (3)3.2、显示控制电路模块 (3)四、VHDL 源程序设计(见附录) (5)五、系统仿真与分析 (5)5.1 时序控制电路的仿真波形 (5)5、2 显示控制模块的仿真波形 (5)5、3 多路彩灯控制器的仿真波形 (6)五、硬件实现 (7)5.1 引脚锁定(模式5) (7)5.2硬件测试及说明 (8)六、结论 (8)七、设计总结 (9)八、参考文献 (9)九、附录 (10)多路彩灯控制器的设计、八、亠刖言多路彩灯控制器通过对应的开关按钮,能够控制多个彩灯的输出状态,组合多种变幻的灯光闪烁,它被广泛地应用到节日庆典、剧场灯光、橱窗装饰中。

采用数字电路方式实现的多路彩灯控制器其原理比较简单,基于对VHDL的初步学习,利用VHDL语言设计一个灯控制器,能循环变化花型,可清零,可选择花型变化节奏。

本想设计一个16路的彩灯,但是由于实验设备的限制,只能做8路得彩灯,但是其原理并没有区别,都是根据它是由两个主要部分组成,即时序控制电路和显示控制模块两部分组成,利用VHDL语言的结构化和自顶而下的设计方法,先分别设计出时序控制电路和显示控制电路两部分,然后利用VHDL的自顶而下的设计,在总的彩灯控制器设计中引入时序控制和显示控制,即可实现多路彩灯控制器的设计。

亠、题目分析1.1总体方框图1.2具体功能要求本次设计要实现的功能如下:1、彩灯控制器由8路发光二极管构成,当控制器开关打开时,能够在7种不同的彩灯花型之间进行循环变化;2、控制器具备复位功能,一旦复位信号有效,不论控制器花型变化处于何种状态,都会无条件即刻清零,恢复到初始状态;3、设置节拍选择按钮。

按下此按钮,多路彩灯控制器的花型变化的节奏减缓; 放开此按钮,则变化节奏加快。

8路彩灯控制器Word版

8路彩灯控制器Word版

河南工业大学EDA技术实验报告专业 ***** 班级***** 姓名 *** 学号 ************实验地点 6316+ 6515 实验日期 2014-11-25 成绩评定一、实验项目8路彩灯控制器二、实验目的1.利用2分频的时钟脉冲来实现两种节拍的交替,控制花形的循环。

2.学会设计花形,并能使其正确的循环。

3.学会二选一多路选择器的设计。

三、实验原理8路彩灯控制器由分频器、二选一多路选择器、花形控制器3个模块组成,分频器的原理是在编写VHDL语言时,加一个中间变量,时钟上沿(或下沿)每到来一次,此变量反转,这样时钟走两个周期,此变量刚好一个周期,再把此变量赋值给输出即得到二分频模块。

分频器VHDL源程序如下图分频器仿真波形花形控制器。

本8路彩灯控制器的设计需要8路彩灯按照两种节拍、三种花形变化。

两种节拍分别为0.25s和0.5s。

三种花形分别是:(1)8路彩灯从左至右按次序渐亮,全亮后逆次序渐灭。

(2)从中间到两边对称地渐亮,全亮后仍由中间向两边逐次渐灭。

(3)8路彩灯分成两半,从左至右顺次渐亮,然后顺次渐灭,然后全亮,最后再全灭。

每一种花形的变化都用状态标识来表示,当一种花形变化完成后,通过状态标志来进入下一种花形的变化,如此来实现可循环操作。

当所以花形都循环完成后,进行节拍的变换,然后进入另一种频率的变化。

花形控制器的VHDL源程序如右图。

二选一多路选择器。

通过花形控制器中JP的信号变化来控制使用原始时钟A,还是使用分频后的时钟信号B,从而实现花形在不同节拍下的循环变化。

二选一多路选择器的VHDL源程序如左图。

设计好以上三个模块后,就是顶层原理图的连接了,连接图如下,输入端接时钟,输出端接LED灯组。

四、仿真结果及分析对已连接完成的原理图进行仿真,仿真结果如下图:从仿真结果图可以看出,各花形变化的功能都已实现,并且节拍的改变也能体现出来。

仿真时,由于花形很多,所以需要把时钟调的很小,要不到10ns才能显示出节拍二的仿真图形,但如果太小,如选择1ns时就会出现一些错误提示。

循环彩灯实习报告

循环彩灯实习报告

电子设计与制作实习报告学院:专业:班级:姓名:学号:指导老师:页脚内容1页脚内容2循环彩灯摘要:设计一个循环彩灯控制电路,该电路实现了让八个彩灯循环闪烁的功能,并且正逆循环。

其中,闪烁时间由555定时器这样的时钟电路产生周期为1秒的脉冲所控制;彩灯的循环闪烁由十进制计数器接受定时器产生的脉冲所控制;彩灯显示功能由三线八线译码器实现,输出分配数据直接控制灯的闪烁。

目录页脚内容31.设计背景……………………………………………………………………1.1了解数字电路系统的定义及组成………………………………………1.2掌握时钟电路的作用及基本构成…………………………………………2.设计方案……………………………………………………………………2.1任务分析……………………………………………………………………2.2方案论证……………………………………………………………………3.方案实施…………………………………………………………………3.1原理图设计…………………………………………………………………3.2电路仿真……………………………………………………………………3.3 PCB制作…………………………………………………………………4.结果与结论…………………………………………………………………5.收获与致谢………………………………………………………………7.附件…………………………………………………………………………7.1电路原理图………………………………………………………………7.2 PCB布线图………………………………………………………………1页脚内容41. 设计背景1.1 了解数字电路系统的定义及组成用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。

由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。

数字电路包括数字脉冲电路和数字逻辑电路。

前者研究脉冲的产生、变换和测量;后者对数字信号进行算术运算和逻辑运算。

EDA实验报告8路彩灯

EDA实验报告8路彩灯

河南工业大学EDA技术实验报告专业电科班级1202 姓名高兆华学号201216030211实验地点6316+ 6515 实验日期2014-11-18成绩评定一、实验项目8路彩灯控制器二、实验目的1、熟熟练掌握VHDL的用法2、了解8路彩灯的工作原理,电路结构三、实验原理实验原理图:VHDL程序:1、8路彩灯的三种花形控制模块CDLIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cd ISPORT(clk:IN STD_LOGIC;jp:OUT STD_LOGIC;qq:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END cd;ARCHITECTURE behav OF cd ISCONSTANT w:INTEGER:=7;SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGINPROCESS(clk)VARIABLE flag:BIT_VECTOR(2 DOWNTO 0):="000"; VARIABLE jp1: STD_LOGIC:='0';BEGINIF clk'EVENT AND clk='1'THENIF flag="000"THENq<="11"&q(w DOWNTO 2);IF q(2)='1'THENflag:="001";END IF;ELSIF flag="001"THENq<=q(w-2 DOWNTO 0)&"00";IF q(5)='0'THENflag:="010";END IF;ELSIF flag="010"THENq(w DOWNTO 4)<=q(w-2 DOWNTO 4)&"11";q(w-4 DOWNTO 0)<="11"&q(w-4 DOWNTO 2);IF q(2)='1'THENflag:="011";END IF;ELSIF flag="011"THENq(w DOWNTO 4)<="00"&q(w DOWNTO 6);q(w-4 DOWNTO 0)<=q(w-6 DOWNTO 0)&"00";IF q(1)='0'THENflag:="100";END IF;ELSIF flag="100"THENq(w DOWNTO 4)<="11"&q(w DOWNTO 6);q(w-4 DOWNTO 0)<="11"&Q(w-4 DOWNTO 2);IF q(2)='1'THENflag:="101";END IF;ELSIF flag="101"THENq<="00000000";jp1:=NOT jp1;flag:="000";END IF;END IF;qq<=q;jp<=jp1;END PROCESS;END behav;2、二选一多路选择器模块MUX21 library ieee;use ieee.std_logic_1164.all;entity mux21 isport(a,b,s:in std_logic;y:out std_logic);end mux21;architecture ar of mux21 isbeginprocess(a,b,s)beginif s='0'theny<=a;elsey<=b;end if;end process;end ar;3、二分频模块FEN2library ieee;use ieee.std_logic_1164.all;entity fen2 isport(clk:in std_logic;clkk:out std_logic);end fen2;architecture behav of fen2 isbeginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1'thenclkk1:=not clkk1;end if;clkk<=clkk1;end process;end behav;四、仿真结果及分析第一个脉冲到来时,q6、q7为高电平,所以连个灯同时点亮,第二个脉冲到来时q5、q4也变为高电平,两个灯也被点亮,一次类推,直到q0、q1变为高电平,第一种花型完成。

8路彩灯控制器课程设计设计报告

8路彩灯控制器课程设计设计报告

EDA课程设计报告课题名称:8路彩灯控制器课程设计专业年级:电子信息科学与技术2009级学号: ********学生姓名: ********指导教师:*********报告完成日期2011 年 6 月10日第一章绪论1.1 系统背景EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL(Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

伴随着计算机、集成电路和电子设计技术的发展,EDA技术在过去的几十年里取得了巨大的进步。

EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件便可完成对系统硬件功能的实现。

如今,EDA软件工具已经成为电子信息类产品的支柱产业。

从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。

可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。

相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点。

因此设计者可以不必了解硬件结构。

从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。

八路彩灯控制器实习报告

八路彩灯控制器实习报告

实习报告:八路彩灯控制器设计与实现一、实习背景及目的随着科技的不断发展,电子产品在日常生活中扮演着越来越重要的角色。

本次实习旨在让同学们更好地掌握数字电子技术知识,提高动手实践能力。

本次实习任务为设计并实现一个八路彩灯控制器,通过控制发光二极管的亮灭,实现多种流动变化的灯光效果。

二、实习内容与过程1. 了解彩灯控制器的工作原理彩灯控制器主要由555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等组成。

通过555定时器产生多谐振荡信号,计数器累计输入脉冲个数,双向移位寄存器存储计数器输出的十进制数,显示译码管将十进制数转换为对应的灯光显示。

2. 设计电路图根据彩灯控制器的工作原理,设计电路图包括以下部分:(1)振荡电路:采用555定时器、电容、电阻等组成多谐振荡电路。

(2)计数器电路:采用十进制计数分频器CT74LS194,用于累计和寄存输入脉冲个数。

(3)显示电路:由发光二极管组成,当CT74LS194的输出端依次输出高电平时,驱动发光二极管依次点亮。

(4)控制电路:采用门电路控制计数器和双向移位寄存器的输入端,实现不同灯光效果的控制。

3. 制作PCB板根据电路图,利用Protel软件设计PCB板,并进行印刷电路板制作。

在制作过程中,注意走线合理,避免信号干扰。

4. 电路调试与验证将制作好的PCB板焊接完毕后,进行电路调试。

通过改变控制电路的输入信号,观察发光二极管的亮灭情况,验证电路是否满足设计要求。

三、实习心得与收获通过本次实习,我对数字电子技术有了更深入的了解,掌握了八路彩灯控制器的设计与实现方法。

在实习过程中,我学会了如何阅读电路图,分析电路原理,设计电路图,制作PCB板,并进行电路调试。

同时,本次实习也培养了我的团队协作能力和动手实践能力。

总之,本次实习使我受益匪浅,对我今后的学习和职业发展具有重要意义。

在今后的学习和工作中,我将继续努力,不断提高自己的数字电子技术水平,为我国的电子科技事业做出贡献。

8路彩灯控制

8路彩灯控制

实验一 8路彩灯控制器实验目的:(1)学会各种8路彩灯控制器的设计方法(2)学会VHDL的多进程及多层次设计方法实验原理:8路彩灯的三钟花形控制器。

能控制8路彩灯按两种节拍、三种花形循环变化。

两种节拍分别为0.25s和0.5s。

三种花形分别为:(1)8路彩灯从左至右按次序渐亮,全亮后后逆次序渐灭。

(2)从中间到两边对称的渐亮,全亮后逆次序渐灭。

(3)8路彩灯分成两半,从左至右顺次渐亮,全亮后则全灭。

两种节拍的交替只需要将4Hz的时钟脉冲二分频,得到一个2Hz的时钟脉冲,让这两种时钟脉冲交替来控制三种花形循环变化即可设计思想体现在图一所示的顶层原理图中。

FEN2是一个二分频器,MUX21是二选一多路选择器,CD是8路彩灯的三种花形控制器。

实验内容:(1)在MAX+plusII中用VHDL语言输入FEN2 、MUX21、CD源程序,保存名字与实体名一致,后缀为vhd,选择目标器件为EP1K30TC144-3,然后进行编译、仿真,.(2)选实验电路结构图为NO.1,8路彩灯依次接PIO32~PIO39,clk 可接CLOCK0~4中的任一个,查表进行引脚锁定。

参考程序:1. 8路彩灯的三种花形控制模块CDLIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cd ISPORT(clk:IN STD_LOGIC;jp:OUT STD_LOGIC;qq:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END cd;ARCHITECTURE behav OF cd ISCONSTANT w:INTEGER:=7;SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(clk)VARIABLE flag:BIT_VECTOR(2 DOWNTO 0):="000"; VARIABLE jp1:STD_LOGIC:='0';BEGINIF clk 'EVENT AND clk='1' THENIF flag="0000" THENq<='1'&q(w DOWNTO 1);IF q(1)='1' THENflag:="001";END IF;ELSIF flag="001" THENq<=q(w-1 DOWNTO 0)&'0';IF q(6)='0' THENflag:="010";END IF;ELSIF flag="010" THENq(w DOWNTO 4)<=q(w-1 DOWNTO 4)&'1';q(w-4 DOWNTO 0)<='1'&q(w-4 DOWNTO 1); IF q(1)='1' THENflag:="011";END IF;ELSIF flag="011" THENq(w DOWNTO 4)<=q(w-1 DOWNTO 4)&'0'; q(w-4 DOWNTO 0)<='0'&q(w-4 DOWNTO 1); IF q(1)='0' THENflag:="100";END IF;ELSIF flag="100" THENq(w DOWNTO 4)<='1'&q(w DOWNTO 5);q(w-4 DOWNTO 0)<='1'&q(w-4 DOWNTO 1); IF q(1)='1' THENflag:="101";END IF;ELSIF flag="101" THENq<="00000000";jp1:=NOT jp1 ;flag:="000";END IF;END IF;qq<=q;jp<=jp1;END PROCESS;END behav;仿真波形图2. 二选一多路选择器模块MUX21 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux21 ISPORT(a,b,s:IN STD_LOGIC;y:OUT STD_LOGIC); END mux21;ARCHITECTURE ar OF mux21 IS BEGINPROCESS(a,b,s)BEGINIF s='0'THENy<=a;ELSEy<=b;END IF;END PROCESS;END ar;仿真波形图3. 二分频模块FEN2LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY fen2 ISPORT(clk:IN STD_LOGIC;clkk:OUT STD_LOGIC);END fen2;ARCHITECTURE behav OF fen2 IS BEGINPROCESS(clk)VARIABLE clkk1:STD_LOGIC:='0'; BEGINIF clk 'EVENT AND clk='1' THEN clkk1:=NOT clkk1;END IF;clkk<=clkk1;END PROCESS;END behav;仿真波形图顶层仿真波形:实验结果:8路彩灯按两种节拍、三种花形循环变化。

8路LED彩灯控制电路 设计报告

8路LED彩灯控制电路 设计报告

《8路LED彩灯控制》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:2011年 1 月 8 日目录一、课程设计目的 (3)二、课程设计题目描述和要求 (3)三、课程设计报告内容 (3)3.1 器件选择 (3)3.2 实验电路图 (3)3.3 原理分析 (4)3.3.1 脉冲源产生模块 (4)3.3.2 定时器模块 (4)3.3.3 寄存器模块 (4)3.4 具体思路和设计过程 (4)3.4.1 设计思路 (4)3.4.2 具体设计过程 (5)四、焊接与调试 (6)五、总结体会 (6)一、课程设计目的随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。

本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。

而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。

二、课程设计题目描述和要求本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。

三.课程设计报告内容3.1 器件选择555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门74ls20六个、导线若干。

3.2 实验电路图3.3 原理分析3.3.1 脉冲源产生模块实际上是由555定时器和电容、电阻构成的多谐振荡器,它们负责为后面的各个芯片提供时时脉冲。

脉冲周期T=(R1+R2)Cln2=0.33s3.3.2 定时器模块两块十六进制74ls191计数器接成48进制计数器,上面一块芯片的Q0、Q2、Q3端为后面的移位寄存器提供变换的穿行输入信号;下面一块芯片和中间的非门、与非门共同构成数据处理中端,负责对上方各输出端的信号脉冲进行处理,并且传至寄存器。

多路彩灯控制器实训报告

多路彩灯控制器实训报告

一、实训目的本次实训旨在通过实际操作,使学生进一步掌握数字电路课程所学的理论知识,熟悉常用集成数字芯片的工作原理,并学会使用其进行电路设计。

同时,通过实训培养学生的科学分析和解决问题的能力,以及严谨的工作作风和实事求是的工作态度。

二、实训内容1. 实训项目:设计一个8路移存型彩灯控制器,实现彩灯快慢两种节拍的变换,8路彩灯能演示三种花型,彩灯用发光二极管LED模拟。

2. 实训要求:(1)设计电路实现题目要求;(2)电路在功能相当的情况下设计越简单越好;(3)注意布线,要直角连接,选最短路径,不要相互交叉;(4)注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。

三、实训过程1. 确定设计方案根据题目要求,经过分析与思考,我们选择以下设计方案:(1)采用8位D触发器74LS74作为移位寄存器,实现彩灯的移位控制;(2)利用8位并行输入/输出锁存器74LS373实现彩灯的输出控制;(3)通过分频电路产生快慢两种节拍的控制信号;(4)使用CD4511编码器实现三种花型的选择。

2. 电路设计根据设计方案,我们设计了以下电路:(1)移位寄存器电路:由74LS74芯片构成,用于实现彩灯的移位控制;(2)输出锁存器电路:由74LS373芯片构成,用于实现彩灯的输出控制;(3)分频电路:由555定时器构成,产生快慢两种节拍的控制信号;(4)编码器电路:由CD4511芯片构成,用于实现三种花型的选择。

3. 电路制作与调试(1)按照电路图,将各个芯片焊接在面包板上;(2)连接电源、按键、发光二极管等外围元件;(3)调试电路,观察彩灯的运行情况,确保电路功能正常。

4. 电路优化与改进在调试过程中,我们发现以下问题:(1)分频电路输出波形不稳定,导致彩灯运行不稳定;(2)编码器电路输出信号存在毛刺,影响彩灯花型的展示。

针对以上问题,我们进行了以下优化与改进:(1)将555定时器改为更稳定的时钟源,提高分频电路的输出稳定性;(2)对编码器电路进行滤波处理,消除输出信号的毛刺。

-八路可控循环彩灯实验报告

-八路可控循环彩灯实验报告

班级:学号:姓名:指导老师:2010年6月2日八路循环彩灯控制电路一、 设计要求设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。

二、 设计方案将振荡器的振荡脉冲进行计数,计数器的输出作为译码器的地址输入,经译码器控制各路彩灯依次发亮。

用框图表示如下。

三、单元电路设计参考1、振荡器设计用集成555电路构成多谐振荡器。

电路如下图所示。

四、 电路元件参数计算若选用四位二进制计数器,要达到设计要求,振荡周期T 应为1秒。

振荡器 计数器 译码器 8路彩灯 → → → CR R T )2(7.021+=若取R1= R2 =R,则T ≈2RC 。

当取C=100μF 时,R ≈ T/(2C )=0.5/C=5K Ω 一般电路中,取C1= 0.01μF五、 计数器的选用选四位二进制同步加/减计数器74LS191。

其引脚功能如下图所示。

QA~ QC 作输出, QD 不用。

使输出数据的频率为输入时钟频率的二分频,周期为2秒。

六、译码器的选用译码器选用74LS138,其引脚功能如下图所示。

当输入A0、A1、A2在000~111变化时,对应的输出Y0至Y7为低Y 7 Y 6 Y 5 Y 4 Y 3 Y 2 Y 1 Y 0 S 2S 3 S 1 A2 A1 A074LS191B QB QA G U/D QC QD GNDVcc A CP RC MIN/MAX PL C D3电平,驱动外接彩灯发亮。

七、彩灯的选用这里选用一般灯泡做彩灯。

由于电源电压为220V,每次只亮一路灯,限流电阻R3=(UCC-VD)/ID,当可调电阻R3阻值为100时,调整R3,可以调节彩灯的亮度。

八、设计原理电路当D/U脚接高电平或悬空时,计数器执行减法,彩灯由上依次一次亮灭;按下开关时,D/U脚接低电平,计数器执行加法,彩灯由下到上依次亮灭。

八、心得体会由于对计数器比较陌生,在选用计数器时,花了很多时间,个人觉得在以后的日子里要熟悉各种各样的芯片,这样才不会接到任务时茫然而不知所措,无从下手。

彩灯设计数电实验报告参考模板

彩灯设计数电实验报告参考模板

西安邮电学院电子设计报告书——多路彩灯控制器学院名称:通信与信息工程学院学生姓名:屈颖(06)专业名称:信息工程班级:信息工程0902实习时间:2011年12月5日——2011年12月16日课程设计题目:多路彩灯控制器一、实验目的1、熟悉常用集成芯片的性能,并利用芯片的功能设计电路;2、对所学理论进行的巩固和检验,并进一步学习;3、提高动手能力,在实践中达到更好的学习效果。

二、实验要求设计一个至少8路的移存型彩灯控制器,彩灯用发光二极管LED 灯模拟。

具体要求如下:1、多路彩灯的模型至少要有8盏;2、实现多路彩灯的多种花型自动变换(至少要求3中花型);3、选做内容:将能够显示的花型种类增加至4 种;4、实现多路彩灯的节拍的自动变换(至少要有快慢2种节拍)。

三、使用元件四、总体方案的设计时钟脉冲采用简单易行的555振荡器来实现,产生周期为1s的时钟脉冲,经过一片74LS74进行分频,产生周期为2s的时钟脉冲然后用74LS1518选1数据选择器进行快慢输出的选择。

信号经过分频之后经过控制电路两片74LS161级联来实现花型的变化,然后输出到移位寄存器74LS194的位移端。

选择移位寄存器的原因是花型要求不多,因此采用移位寄存器来组成彩灯控制电路。

彩灯控制器是以高低电平来控制彩灯的亮与灭。

如果以某种节拍按一定规律改变彩灯的输入电平值,控制彩灯的亮与灭,即可按预定规律显示一定的花型。

因此彩灯控制电路需要一个能够按一定规律输出不同高低电平编码信号的信号发生器,同时还需要信号发生器所要求的时序信号和控制信号。

综上所述,彩灯控制器应由节拍产生、节拍切换、花型切换、及花型显示模块组成:其结构框图如图所示:五、单元电路的设计1、三种花型的设计:(1)定时器由555振荡器及少量电阻、电容构成,产生定时脉冲1s,再经过74LS74中的D触发器产生分频信号为2s脉冲;其电路图如下所示:(2)节拍切换模块是有74LS151和74LS74构成,在这里74LS151 8选1数据选择器主要起到快慢切换的作用,当高位74LS161的输出取反为0时选择D0输出,则花型变换为慢节拍。

8路彩灯控制器实验报告

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:赵玲2015年1 月7 日目录一、课程设计目的 (3)二、课程设计要求 (3)(一)、彩灯控制器设计要求 (3)(二)、课程设计总体要求 (3)三、课程设计内容 (3)(一)、设计原理分析 (3)(二)、器件选择 (5)(三)、具体电路连线及设计思路 (6)1、时钟控制电路 (6)2、花色控制电路 (7)3、花色演示电路 (8)4、总体电路图 (10)四、实际焊接电路板思路及过程 (11)(一)、设计思路及电路图 (11)(二)、设计及焊接过程 (11)(三)、电路板展示 (12)五、课程设计总结与体会 (13)一、课程设计目的1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。

并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。

2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。

从而学会使用常用集成数字芯片进行电路设计。

3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。

4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。

5.培养自己的动手能力,团队协作能力。

二、课程设计要求(一)、彩灯控制器设计要求设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式;3.(选做内容)闪烁时实现快慢两种节拍的变换。

(二)、课程设计总体要求(1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功;(3)每人独立完成一份设计报告。

三、课程设计内容(一)、设计原理分析1.基本原理如下:总体电路共分三大块。

彩灯控制实验

彩灯控制实验

彩灯控制实验
一.实验目的
1.熟悉PLC的I/O连接;
2.进一步熟悉PLC的基本指令;
3.进一步熟悉定时器的功能及编程、调试方法。

二.实验内容
1.8位彩灯的“追灯”程序;
2.“追灯”花样的控制;
3.彩灯移位速度的控制;
4.彩灯移位方向的控制。

三.实验步骤
1.接线
在PLC输入端I0.0~I0.7接8个输入开关,I1.0接运行开关,I1.1接复位开关,I1.2接方向控制开关;在PLC输出端Q0.0~Q0.7接8只彩灯。

2.编程
按照题目要求,画出程序流程图并编写相应的控制程序以实现控制目的,并将程序下载到PLC中。

3.运行程序
投入运行前,先用输入开关I0.0~I0.7任意设定一个初值。

将程序投入运行,这时可观察到Q0.0~Q0.7所对应的8只彩灯开始向后依次闪亮,并不断循环,形成”追灯”花样。

4.改变移位初值
通过改变移位初值,可以使追灯得到不同的花样。

5.改变移位速度
改变计时器T0或T1的设定值,可改变移位速度。

6.改变移位方向
通过控制输入开关I1.2的通断,可以改变追灯的方向是向前或是向后。

7.彩灯停止
彩灯工作中,将运行开关断开,则彩灯熄灭,停止工作。

再将运行开关接通,彩灯又开始运行。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:赵玲2015年1 月7 日目录一、课程设计目的 (3)二、课程设计要求 (3)(一)、彩灯控制器设计要求 (3)(二)、课程设计总体要求 (3)三、课程设计内容 (3)(一)、设计原理分析 (3)(二)、器件选择 (5)(三)、具体电路连线及设计思路 (6)1、时钟控制电路 (6)2、花色控制电路 (7)3、花色演示电路 (8)4、总体电路图 (10)四、实际焊接电路板思路及过程 (11)(一)、设计思路及电路图 (11)(二)、设计及焊接过程 (11)(三)、电路板展示 (12)五、课程设计总结与体会 (13)一、课程设计目的1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。

并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。

2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。

从而学会使用常用集成数字芯片进行电路设计。

3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。

4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。

5.培养自己的动手能力,团队协作能力。

二、课程设计要求(一)、彩灯控制器设计要求设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式;3.(选做内容)闪烁时实现快慢两种节拍的变换。

(二)、课程设计总体要求(1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功;(3)每人独立完成一份设计报告。

三、课程设计内容(一)、设计原理分析1.基本原理如下:总体电路共分三大块。

第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用74LS161芯片实现该功能;第三块实现花型的演示,利用74LS194芯片实现该功能。

主体框图如下:2.根据所提供的实验器材各模块总体思路如下:(1).时钟信号产生和控制(clock)电路:利用555定时器接对应的电阻、电容后形成多谐振荡器,从而产生脉冲信号。

公式;f=1/((R1+2R2)CIn2); T=((R1+2R2)CIn2;(2).花型控制电路:由两片74LS161 4位二进制同步计数器和7个与非门4个非门共同完成花型控制电路,两个74LS194作为一个组合完成一个花色,但是两个74LS194在演示时实际是相互对立的,所以每个74LS194连接的4个灯在每种花色里一共需要产生8种不同的状态。

通过控制不同的脉冲下SR、SL、S0、S1的输入来控制每个脉冲下LED灯移动方向以及移动状态。

因为在第一个74LS161在0000时为初始状态,0001时开始亮第一个灯,所以第八个状态时第一个74LS161状态为1000,在下一个状态1001时,将它的Q3与Q0的与非门接在两个74LS194和自己的的MR上与,使其异步置零,这时8盏灯全部熄灭,之后进入下一个花色演示。

因为亮完三种不同花色之后将进入下一个循环,所以第二片74LS161将设计成三进制,即将Q0与Q1的与非门接到异步置零端上。

(3).由两片74LS194 双向移位寄存器完成花型演示部分,可左移右移完成花3.整个花型控制电路设计如下:我将8路灯上下四个摆成了两个菱形。

如下图所示:花型1:8路灯从D1至D8逐个亮,然后全部熄灭。

花型2:两个菱形同时依次亮两个灯,然后这两个灯绕着两个菱形流动两圈后,这4个灯同时熄灭花型3:上面一个菱形4路灯逐一亮起后,下面一个菱形的4盏灯开始亮,这时上面4盏灯逐一熄灭,等下面4个灯都亮起后,全部熄灭。

4.花色表格表示将D1-D8八个灯的状态,亮则为1,不亮记为0,则每种花色的状态如下:三种花型每种花色演示一遍,之后全部熄灭,待三种花型循环一次之后,进入下一个循环。

(二)、器件选择74LS161(四位二进制同步计数器)-------------------------------- 2个; 74LS194(移位寄存器)---------------------------------------- 2个; 74LS04------------------------------------------------------- 4个; 74LS20----------------------------------------- --------------7个; LED发光二极管--------------------------------- -------------- 8个; 555---------------------------------------------------------- 1个;电容:4.7µf-------------------------------------------------- 1个;电容:0.01µf------------------------------------------------- 1个;电阻:47kΩ-------------------------------------------------- 2个;电阻:470Ω-------------------------------------------------- 8个;导线若干。

(三)、具体电路连线及设计思路1.时钟信号电路:由一片555加上适当电容及电阻实现。

电容取:4.7μf 0.01μf电阻取:47 kΩ时钟信号周期为:脉冲周期T=(R1+R2)Cln2=0.33s电路图如下:555定时器的连接:1接地,2和6相连,2连一个4.7µf的电容后接地,连一个0.01μf的电容后接地,4和8相连后接电源正极,8接47kΩ的电阻和7相连,7连47kΩ的电阻和6相连。

2.花型控制信号电路:由二片74LS161级联计数器实现,将其几个输出信号加上适当门电路产生三种花型所需的输入信号。

两片双向移位寄存器74LS194的的SR、SL、S0、S1等均有两片74LS161控制,两片74LS194的驱动方程如下;注:为了区分两片74LS161,不妨将第二个74LS161的输出端记为q0、q1、q2、q3,因为没有提供与门和或门所以只有使用与非门和非门组合表示与门和或门;第一片74LS194:SR=(Q1*q1)’; SL=Q3; S0=(q0’*Q2)’;S1=((Q2*q1)’)’= Q2*q1; MR=(Q0*Q3)’;第二片74LS194:SR=(Q1*q1)’; SL=Q3; S0=(q0’*Q2’)= q0+Q2;S1=((q1*Q2’)’)’= q1*Q2’; MR=(Q0*Q3)’;电路图如下:下面对74LS做一个简单的介绍:(1)74LS161引脚图(2)74LS161的功能表74LS161为二进制同步计数器,具有同步预置数、异步清零以及保持等功能。

从功能表的第一行可知,当CR=0(输入低电平),则不管其他输入端(包括CP端)状态如何,四个数据输出端QA、QB、QC、QD全部清零。

由于这一清零操作不需要时钟脉冲CLK配合,所以CR(即仿真时的MR端)为异步清零端,且低电平有效,也可以说该计数器具有“异步清零”功能。

3.花型演示电路:由二片移位寄存器74LS194级联实现。

其八个输出信号端连接八个发光二极管,为了保护二极管,在每个二极管后面都接了一个470 的电阻,并且电阻之后接地。

用其输出信号控制发光二级管的亮灭实现花型演示。

电路图如下:74LS194的引脚图:74LS194的功能表:74LS194是移位寄存器,利用两块双向移位寄存器74LS194和8路LED灯组成的终端负责显示任务,移位寄存器采用“串入并出”的方式,而且每个芯片组各自独立。

当工作时左右移动的串入脉冲共同控制LED灯的亮和灭,进而形成各种花色。

4.总体电路图如下:四、实际焊接电路板思路及过程;(一)、设计思路及电路图在焊接电路时,由于我的电路比较复杂,光与非门就有7个,所以我们选用了周泓岑的电路。

我们先是上网上查找了74LS161、74LS04、74LS20、74LS194的引脚图,然后对应着仿真电路图做了一番改造,我们画出了仿真电路图中没有的引脚例如8接地,6接电源,尽量避免了交叉。

可是即使是我们两人中较为简单的电路图改造出来依然令人咋舌,因为我们毕竟是学软件的,并不擅长硬件方面,所以这个对于我们来说难度太大,于是我们又对原仿真电路图进行了简化改造,去掉了一个与非门,这时连接出来的电路图才觉得可以试一试。

我们的电路图如下所示;(二)、设计焊接过程在电路图设计出来后,我们就进行了分工。

由周泓岑主要负责焊接工作,由我来检查电路图并且指示周泓岑应该焊哪一根线,同时我还进行了飞线的制作。

在焊接哪一根线之前,我会用铅笔仔细地在电路板上描出要焊的线。

万一锡焊地过多了,我们就会用吸锡器将其清除。

就这样,在在经过了整整一天的焊接后,我们终于焊出来了完整的电路。

(三)、电路板展示:五、课程设计总结与体会这次数电课程设计对于我来说,是一个极大的挑战,因为我们之前做的课程设计莫过于写一段代码或是使用软件设计一个作品出来,而这个数电课程设计却是对于我们对于数电知识的掌握以及我们的动手能力的极大的综合考察。

完成这个课程设计我们前前后后大概花了两周的时间才总算完成!开始老师将题目布置下来时,我一脸茫然,根本不知道该如何下手。

因为我们虽然学过74LS161和74LS194芯片的功能以及方法,但是如何将它们结合起来设计出来一个电路,难度还是很大的!所以我开始没有设计而是对于数电课本做了一番研究,对于这两种芯片的功能和方法有了进一步的了解,这时我们班上已经有同学设计出来了电路图,虽然电路图很简单,花色也比较单一,但是对于我来说却非常的具有参考意义。

我仔细研究了别人的电路图,大概明白了如何将74LS161与74LS194联系起来。

然后在17周时我到图书馆静气凝神设想了三种花色,然后通过列出真值表找出来了74LS161的各个输出端具有什么关系才会出现这样的花色,然后在草稿纸上画出了草图,在晚上时我使用proteus按照草图画出了仿真实验图,连电路图我倒没花多少时间,我本以为要花大量时间去修改电路图呢!谁知开始只出现了一点小问题,修改之后一举成功!!!在仿真答辩时,由于是我自己设计的电路所以对于它的工作原理非常熟悉,所以对于老师的提问对答如流。

相关文档
最新文档