振幅键控(ASK)调制与解调实验
基于MATLAB的ASK调制解调实验
基于MATLAB 的ASK 调制解调实验1.实验目的(1) 熟悉MATLAB 中M 文件的使用方法,并在掌握ASK 调制解调原理的基础上,编写出ASK 调制解调程序。
(2) 绘制出ASK 信号解调前后在时域和频域中的波形,并观察解调前后频谱有何变化以加深对ASK 信号解调原理的理解。
(3) 对信号叠加噪声,并进行解调,绘制出解调前后信号的时频波形,改变噪声功率进行解调,分析噪声对信号传输造成的影响。
2.实验原理(1)ASK 调制原理ASK 指的是振幅键控方式。
这种调制方式是根据信号的不同,调节正弦波的幅度。
幅度键控可以通过乘法器和开关电路来实现。
载波在数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送。
那么在接收端我们就可以根据载波的有无还原出数字信号的1和0。
对于二进制幅度键控信号的频带宽度为二进制基带信号宽度的两倍。
幅移键控法(ASK )的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断, 此时又可称作开关键控法(OOK )。
二进制幅度键控记作2ASK 。
2ASK 是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。
有载波输出时表示发送“1”,无载波输出时表示发送“0”。
2ASK 信号可表示为t w t s t e c cos )()(0=式中,c w 为载波角频率,s(t)为单极性NRZ 矩形脉冲序列 )()(b nn nT t g a t s -=∑其中,g(t)是持续时间b T 、高度为1的矩形脉冲,常称为门函数;n a 为二进制数字⎩⎨⎧-=P P a n 101,出现概率为,出现概率为 2ASK/OOK 信号的产生方法通常有两种:模拟调制(相乘器法)和键控法。
本模拟幅度调制的方法用乘法器实现。
相应的调制如图5-1和图5-2:图5-1模拟相乘法图5-2键控/开关法(2)ASK 解调原理2ASK/OOK 信号有两种基本的解调方法:非相干解调(包络检波法)和相干解调(同步检测法)。
ASK调制与解调的仿真
实验四ASK 调制与解调的仿真一.实验目的1.掌握幅度键控的原理,经过对仿真的过程和结果剖析,加深对其理解。
2.运用 MATLAB对 ASK的调制与解调过程进行仿真。
二.实验内容运用 MATLAB编程实现ASK调制解调过程,并且输出其调制后的波形,画出频谱、功率谱密度图,并比较各样调制的误码率状况,议论其调制成效。
三.软件纲要设计说明,功能模块及流程和工作原理ASK信号调制器的设计:产生二进制振幅键控信号的方法主要有两种:法 1:采纳相乘电路,用基带信号 A t 和载波 cos t 相乘就获得已调信号输出;法 2:采纳开关电路,这里的开关由输入基带信号 A t 控制,用这类方法能够获得相同的输出波形。
ASK 信号解调器的设计:ASK信号的解调方法有两种,即包络检波法和相关解调法,前者属于非相关解调。
此中解调的原理框图以下图。
依据 ASK调制的表达式可知:S2 ASK (t ) a n A cos c t综合式令A=1,则ASK信号的一般时域表达式为:S 2ASK (t ) a n g(t nT s ) cos c tnS(t ) cos c t式中, T s 为码元间隔, g(t ) 为连续时间 Ts 2,Ts 2 内随意波形形状的脉冲(剖析时一般 设为归一化矩形脉冲) ,而 S(t) 就是代表二进制信息的随机单极性脉冲序列。
依据 ASK 相关解调的表达式:z(t ) y(t) ?cos( c t) m(t ) ? cos 2 ( c t ) m(t) ? 1 [1 cos(2 c t)] 1 m(t) 1 m(t ) cos(2c t) 2 2 2此中第 1 项是基带信号,第 2 项是频次为 2 c 的高频信号,利用低通滤波器可检出基带信 号,再经过抽样裁决,可恢复出原始信号序列。
四. 软件详尽设计、重点技术与难点、测试数据用 MATLAB 编程以下:t=0::8; % 定义时间采样值y=sin(2*pi*t); % 定义未调信号的表达式x=[ones(1,100),zeros(1,100),ones(1,100),ones(1,100),zeros(1,100),zeros(1,100) ,ones(1,100),zeros(1,101)]; % 定义载波 X 的取值z=x.*y; % 定义已调信号的表达式subplot(3,1,1) % 画第一个图plot(t,x) % 画出载波图axis([0,8,,]) % 定义范围xlabel(' 时间 ') % 定义坐标轴的名字title(' 未调信号 '); % 定义图的名字subplot(3,1,2); % 画第二个图plot(t,y) % 画出调制信号图axis([0,8,,]) % 定义范围xlabel(' 时间 ') % 定义坐标轴的名字title('载波 ')%定义图的名字subplot(3,1,3)%画出第三个图plot(t,z)%画出解调后的图axis([0,8,,]) %定义范围xlabel('时间 ')%定义坐标轴的名字title('已调信号 ');%定义图的名字仿真结果:软件中主要包括有二进制信号的产生,调制信号的产生,调制信号的解调解画图部分。
通原实验2 ASK实验
知识要点:数字调制的特点和分类
“数字通信系统”具有很多优点。其中最重要的一点是数字信 号的再生性。数字调制将基带数字信号搬移到更适于传输的高频带, 同时将数字信息加载到高频载波的某一参数上,从而在接收端实现 再生。 虽然从过程上,数字调制似乎与模拟调制类似,但二者最大的区 别是,模拟信号在传输过程中引入的噪声是无法在接收端完全消除 的。而数字调制则有可能(S/N比较好时)做到这一点。
t
1 、4
2ASK 的功率谱特性
为了更深入掌握2ASK信号的性质,除时域分析外,还应进行 频域分析。由于二进制序列一般为随机序列,其频域分析的对象 应为信号功率谱密度。 经分析可知,2ASK信号的双边功率谱密度表达式为: 1 2 2 P2 ASK ( f ) f s P(1 P) G ( f f c ) G ( f f c ) 4
实验应当具备的基础知识
3、数字通信系统的特点
数字通信系统传输的是离散的数字信号,与模拟通信相比,更 能适应现代通信系统的要求。 1、抗干扰能力强,尤其中继传输,可再生而消除噪声的积累; 2、传输差错可以控制,从而改善传输质量; 3、便于使用现代数字信号处理技术来对数字信息进行处理; 4、易于做高保密性的加密处理; 5、可以综合传递各种消息,使通信系统的功能增强。
仪器在使用过程中,不必经常开关电源。 切忌无目的的拨弄仪器面板上的开关和按钮。 仪器设备出现问题,请向老师寻求帮助,请勿随便调换配件。 注意仪表允许安全电压(或电流),切勿超过! 当被测量的大小无法估计时,应从仪表的最大量程开始测试,然 后逐渐减小量程。
基于MATLAB的ASK调制解调实验
基于MATLAB的ASK调制解调实验基于MATLAB 的ASK 调制解调实验1.实验⽬的(1) 熟悉MATLAB 中M ⽂件的使⽤⽅法,并在掌握ASK 调制解调原理的基础上,编写出ASK 调制解调程序。
(2) 绘制出ASK 信号解调前后在时域和频域中的波形,并观察解调前后频谱有何变化以加深对ASK 信号解调原理的理解。
(3) 对信号叠加噪声,并进⾏解调,绘制出解调前后信号的时频波形,改变噪声功率进⾏解调,分析噪声对信号传输造成的影响。
2.实验原理(1)ASK 调制原理ASK 指的是振幅键控⽅式。
这种调制⽅式是根据信号的不同,调节正弦波的幅度。
幅度键控可以通过乘法器和开关电路来实现。
载波在数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上⽆载波传送。
那么在接收端我们就可以根据载波的有⽆还原出数字信号的1和0。
对于⼆进制幅度键控信号的频带宽度为⼆进制基带信号宽度的两倍。
幅移键控法(ASK )的载波幅度是随着调制信号⽽变化的,其最简单的形式是,载波在⼆进制调制信号控制下通断,此时⼜可称作开关键控法(OOK )。
⼆进制幅度键控记作2ASK 。
2ASK 是利⽤代表数字信息“0”或“1”的基带矩形脉冲去键控⼀个连续的载波,使载波时断时续地输出。
有载波输出时表⽰发送“1”,⽆载波输出时表⽰发送“0”。
2ASK 信号可表⽰为tw t s t e c cos )()(0=式中,cw 为载波⾓频率,s(t)为单极性NRZ 矩形脉冲序列)()(b nn nT t g a t s -=∑其中,g(t)是持续时间b T 、⾼度为1的矩形脉冲,常称为门函数;n a 为⼆进制数字-=P P a n 101,出现概率为,出现概率为2ASK/OOK 信号的产⽣⽅法通常有两种:模拟调制(相乘器法)和键控法。
本模拟幅度调制的⽅法⽤乘法器实现。
相应的调制如图5-1和图5-2:图5-1模拟相乘法图5-2键控/开关法(2)ASK 解调原理2ASK/OOK 信号有两种基本的解调⽅法:⾮相⼲解调(包络检波法)和相⼲解调(同步检测法)。
实验二基于simulink的ASK数字调制与解调的仿真
实验二 基于simulink 的2ASK 有扰通信系统仿真一、实验目的1、熟悉2ASK 系统的调制、解调原理2、进一步熟悉MATLAB 环境下的Simulink 仿真平台3、提高学生分析问题和解决问题的能力二、实验原理1、2ASK 调制原理a)2ASK 的时间波形振幅键控是正弦载波的幅度随数字基带信号而变化的数字调制。
当数字基带信号为二进制时,则为二进制振幅键控。
设发送的二进制符号序列由0、1序列组成,发送0符号的概率为P ,发送1符号的概率为1-P ,且相互独立。
该二进制符号序列可表示为)()(S nn nT t g a t s -=∑其中,⎩⎨⎧=P -P 110发送概率为发送概率为n a T s 是二进制基带信号时间间隔,g(t)是持续时间为T s 的矩形脉冲:⎩⎨⎧≤≤=其他001)(s T t t g则二进制振幅键控信号可表示为t nT t g a t t s t s c s n n c ASK ωωcos )(cos )()(2⎥⎦⎤⎢⎣⎡-==∑ 典型波形如图1-1所示图1-1 典型2ASK 波形由图1-1可以看出,2ASK 信号的时间波形e 2ASK (t)随二进制基带信号s(t)通断变化,所以又称为通断键控信号(OOK 信号)。
b)2ASK 信号的功率谱密度由于二进制的随机脉冲序列是一个随机过程,所以调制后的二进制数字信号也是一个随机过程,因此在频率域中只能用功率谱密度表示。
2ASK 信号功率谱密度的特点如下:(1)由连续谱和离散谱两部分构成,连续谱由调制信号g(t)经线性调制后决定,离散谱由载波分量决定;(2)已调信号波形的带宽是基带脉冲波形带宽的2倍。
2ASK 信号功率谱密度推导:设调制信号s(t)为单极性不归零码,码元间隔为T s ,高电平设为A ,低电平为0,则)(t s 的功率谱)(f P s 为 )(4)(4)(222f A fT Sa T A f P s s s δπ+= 已调信号为t nT t g a t t s t s c S n n c ASK ωωcos )(cos )()(2⎥⎦⎤⎢⎣⎡-==∑,其功率谱为[])()(16)()(sin )()(sin 16)(2222c c s c s c s c s c s e f f f f A T f f T f f T f f T f f T A f P -+++⎥⎥⎦⎤⎢⎢⎣⎡--+++=δδππππ图1-2 2ASK 信号的功率谱密度示意图图中,sb T f 1=,为调制信号s(t)的带宽,数值上也等于码元速率。
ASK调制与解调--通原实验报告
ASK调制与解调
一、实验目的
1.掌握2ASK调制器的基本工作原理;
2.掌握2ASK解调器的基本工作原理。
二、实验原理
1.2ASK信号波形
2.2ASK调制信号的产生
实验原理图,如图所示:方法一和方法二
方法一
方法二
3.2ASK调制信号的解调
2ASK信号的解调可以采用同步或非同步解调方式。
三、 实验设备
音频振荡器、主振荡器、序列码产生器、双模开关、加法器、乘法器、可变直流电压、共享模块,可变直流电压、移相器
四、 实验过程
1.2ASK 信号调制连接图如下:
方法一中:
(1) 数字信号的产生方法
利用主振荡器模块的2KHz 正弦信号加到序列码产生器的时钟控制端(CLK )产生序列信号;
(2) 数字信号的调制要注意时钟同步问题
在本实验中可利用主振荡器模块的8.33KHz 加到音频振荡器的SYNC 端,用于时钟同步;
(3) 利用双模开关产生二进制振幅键控信号(2ASK )
方法二中:
(1)序列信号应为单极性0,1序列,可加入“可变直流电压”调节。
2.2ASK 信号解调连接图如下:
(1)在非同步解调中,将ASK已调信号经过整流器,低通滤波器最后通过比较器输出。
(2)在同步解调中,载波提取可利用主振荡器和移相器(若有相位偏移)完成;然后再通过低通滤波器最后通过比较器输出。
五、实验结果
1.基带信号(黄色)与调制信号(蓝色)波形:
2.调制信号(黄色)与调制信号(蓝色)波形:
六、实验分析
ASK调制实际上就是将信号波形与载波相乘,得到调制波形,相当于是通过开关来控制信号的通断,这个实验较为简单,所以比较顺利地完成了。
实验三 ASK调制与解调
实验三 ASK调制解调一、实验目的1.掌握ASK调制器的工作原理及性能测试;2.学习基于软件无线电技术实现ASK调制、解调的实现方法。
二、实验仪器1.RZ9681实验平台2.实验模块:●主控模块●基带信号产生与码型变换模块-A2●信道编码与频带调制模块-A4●纠错译码与频带解调模块-A53.信号连接线4.100M四通道示波器三、实验原理3.1调制与解调数字信号的传输方式分为基带传输和带通传输。
然而,实际中的大多数信道(如无线信道)因具有带通特性而不能直接传送基带信号,这是因为数字基带信号往往具有丰富的低频分量。
为了使数字信号在带通信道中传输,必须用数字基带信号对载波进行调制,以使信号与信道的特性相匹配。
这种用数字基带信号控制载波,把数字基带信号变换为数字带通信号(已调信号)的过程称为数字调制(digital modulation)。
在接收端通过解调器把带通信号还原成数字基带信号的过程称为数字解调(digital demodulation)。
通常把包括调制和解调过程的数字传输系统叫做数字频带传输系统。
数字信息有二进制和多进制之分,因此,数字调制可分为二进制调制和多进制调制。
在二进制调制中,信号参量只有两种可能的取值;而在多进制调制中,信号参量可能有M(M>2)种取值。
本章主要讨论二进制数字调制系统的原理。
3.2 2ASK调制振幅键控(Amplitude Shift Keying,ASK)是利用载波的幅度变化来传递数字信号,而其频率和初始相位保持不变。
在2ASK中,载波的幅度只有两种变换状态,分别对应二进制信息“0”或“1”。
2ASK信号的产生方法通常有两种:数字键控法和模拟相乘法。
实验中采用了数字键控法,并且采用了最新的软件无线电技术。
结合可编程逻辑器件和D/A转换器件的软件无线电结构模式,由于调制算法采用了可编程的逻辑器件完成,因此该模块不仅可以完成ASK,FSK 调制,还可以完成PSK,DPSK,QPSK,OQPSK等调制方式。
通信原理实验ASK调制和解调实验报告
新疆师范大学实验报告2020年4月27日课程名称通信原理实验项目实验四:ASK调制及解调实验物理与电子工程学院电子17-5 姓名赵广宇同组实验者指导教师阿地力一、实验目的掌握用键控法产生ASK信号的方法。
掌握ASK非相干解调的原理二、实验器材主控&信号源模块9号数字调制解调模块示波器三、实验原理1、实验原理框图2、实验框图说明ASK调制是将基带信号和载波直接相乘。
已调信号经过半波整流、低通滤波后,通过门限判决电路解调出原始基带信号。
四、实验步骤实验项目一ASK调制概述:ASK调制实验中,ASK(振幅键控)载波幅度是随着基带信号的变化而变化。
在本项目中,通过调节输入PN序列频率或者载波频率,对比观测基带信号波形与调制输出波形,观测每个码元对应的载波波形,验证ASK调制原理实验项目二ASK解调概述:实验中通过对比观测调制输入与解调输出,观察波形是否有延时现象,并验证ASK解调原理。
观测解调输出的中间观测点,如:TP4(整流输出),TP5(LPF-ASK),深入理解ASK解调过程。
若解调出的信号与原基带信号有差别,可调节抽样判决旋钮进行微调观察眼图时,1.位同步信号CLK,2.低通滤波输出信号调整主控模块,16K,PN127五、实验分析●ASK即“幅移键控”又称为“振幅键控”,所以又记作OOK信号。
ASK是一种相对简单的调制方式。
●这次实验首先对输入信号利用相关的模块进行ASK调制,再通过加入高斯白噪声传输信道,接着在接收端对信号进行ASK解调,最后把输出的信号和输入的信号进行比较。
●幅移键控(ASK)相当于模拟信号中的调幅,只不过与载频信号相乘的是二进制数码而已。
●所谓幅移就是把频率、相位作为常量,而把振幅作为变量,信息比特是通过载波的幅度来传递的。
六、实验总结●第一次进行实验时,开始运行后,跳出了如图所示的提示。
在停止运行后,在加入了数字终端模块后,提示消失,在今后进行数字实验时,可引以为戒。
实验七 振幅键控ASK调制与解调
实验七振幅键控(ASK)调制与解调一、概述为使数字信号在带通信道中传输,必须对数字信号进行调制。
在幅移键控中,载波幅度是随着调制信号而变化的。
最简单的形式是载波在二进制调制信号1或0控制下通或断,这种二进制幅度键控方式称为通-断键控(OOK)。
本实验采用这种方式。
二、实验原理1.调制部分:二进制幅度键控的调制器可用一个相乘器来实现。
对于OOK信号,相乘器则可以用一个开关电路来代替。
调制信号为1时,开关电路导通,为0时切断。
OOK信号表达式:s OOK(t) = a(n)A cos(c t)式中:A -载波幅度,c-载波频率,a(n)-二进制数字信号原理框图基带信号a(n) 已调信号s OOK(t)c2.解调部分:解调有相干和非相干两种。
非相干系统设备简单,但在信噪比较小时,相干系统的性能优于非相干系统。
这里采用相干解调。
原理框图低通滤波(t) 解调信号â(n)OOK载波Acos(ωc t)三、实验步骤1.根据ASK调制与解调原理,用Systemview软件建立一个仿真电路,如下图所示:2.元件参数配制Token 0,5:基带信号-PN码序列(频率=10Hz,电平=2,幅度=1V,偏移=1V)Token 1,22:乘法器Token 2, 7,23:载波-正弦波发生器(频率=50Hz,幅度=1V,相位=0deg)Token 14,26:模拟低通滤波器(截止频率=10Hz,阶数=3)Token 15,27:抽样保持器Token 16,28:脉冲(频率=10Hz,幅度=1V,脉宽=0.05s)Token 12,24:比较器(真值=1V,假值=-1V)Token 17,29:门限值(幅度=0.1V)其它为观察点-分析窗3.运行时间设置:采样点数=2048,采样频率=1000Hz4.运行系统:运行该系统后,转到分析窗观察的波形。
5.功率谱:在分析窗绘出该系统调制后的功率谱。
四、实验报告1.观察并记录实验波形:Token 4-基带信号波形,Token 33-调制波形,Token 18-解调波形,并与理论参考波形相比较。
实验3 ASK调制与解调实验报告
(采用双踪示波器比较信号源的位同步波形与提取的位同步信号波形,它们应当一致,表示发送端与接收端的码元宽度是一样的)
ASK解调输出波形:
(采用双踪示波器比较提取的位同步信号波形与ASK解调输出波形,从而可以得到数字信号,它与我们在SW01、SW02、SW03设置的数字信号应该一致)
OUT2测试点输出波形:(即ASK调幅波经半波整流器后的信号输出波形)
OUT3测试点输出波形:(即ASK调幅波经低通滤波器后的信号输出波形)
ASK—OUT测试点输出波形:(即ASK调幅波经电压比较器后的信号输出波形,未经同步判决。波形与ASK判决电压调节的调节幅度有关)
a、ASK判决电压调节过高,误判为0的概率增加:
(采用双踪示波器比较ASK基带输入波形与ASK—OUT测试点输出波形)
b、ASK判决电压调节过低,误判为1的概率增加:
(采用双踪示波器比较ASK基带输入波形与ASK—OUT测试点输出波形)
c、适当调节ASK判决电压,使ASK—OUT输出波形与ASK基带输入波形最接近:
(采用双踪示波器比较ASK基带输入波形与ASK—OUT测试点输出波形)
七、实验思考题解答
1、说明用键控法产生2ASK信号的方法。
2、调节判决电平,当它过大或过小时会出现误码,说明为什么会产生误码。
八、调试中遇到的问题及解决方法
现代通信原理
实验室名称:通信原理实验室实验日期: 年 月 日
学院班级、Biblioteka 号姓名实验项目名称
ASK调制与解调实验
指导
教师
一、实验目的
二、实验内容
三、实验仪器
四、实验原理
五、实验步骤
六、实验结果及分析
ASK基带输入: 信号源测试点NRZ输出的NRZ码
matlabask调制解调
MATLAB中实现ASK(振幅键控)调制解调的步骤如下:
生成随机比特流:使用MATLAB的随机数生成函数生成二进制比特流。
映射比特流到振幅:将二进制比特流映射到相应的振幅值,通常使用高电平和低电平表示二进制比特流的1和0。
调制信号:使用生成的振幅信号调制高频载波信号。
通常可以使用MATLAB的信号处理函数进行调制,如modulate函数。
传输信号:将调制后的信号通过信道传输。
解调信号:在接收端,使用适当的解调方法将调制信号解调为原始比特流。
常用的解调方法包括相干解调和非相干解调。
在MATLAB中,可以使用相关函数进行相干解调,如demodulate函数。
比特流同步:在解调过程中,需要确保解调器与发送端保持同步,以便正确解调出原始比特流。
可以使用适当的同步算法实现比特流的同步。
误码率分析:最后,可以使用MATLAB的误码率分析工具计算解调后的比特流的误码率,以评估调制解调性能。
以上是MATLAB实现ASK调制解调的大致步骤。
振幅键控(ASK)调制与解调实验
相乘器
相干载波
位同步信号
(b)相干方式
图 9-2 2ASK 解调原理框图
五、实验原理
1、 ASK 调制电路 在这里,我们采用的是通-断键控法, 2ASK 调制的基带信号和载波信号分别从 “ASK-NRZ”和“ASK 载波”输入,其实验框图和电路原理图分别如图 9-3、图 9-4 所示。
重庆邮电大学通信技术与网络实验中
LTE-TX-02E 型通信原理实验指导书
2ASK 解调有非相干解调(包络检波法)和相干解调(同步检测法)两种方法,相应的 接收系统原理框图如图 9-2 所示:
调制信号输入 耦合 电路 半波 整流器 低通 滤波器 抽样 判决器 解调信号输出
位同步信号
(a)非相干方式
综合式 9-1 和式 9-2,令 A=1,则 2ASK 信号的一般时域表达式为:
⎡ ⎤ S 2 ASK (t ) = ⎢∑ a n g (t − nTs )⎥ cos ω c t ⎣ n ⎦ = S (t ) cos ω c t
(9-3) 式中,Ts为码元间隔, g (t ) 为持续时间 [-Ts/2,Ts/2] 内任意波形形状的脉冲(分析时 一般设为归一化矩形脉冲),而 S (t ) 就是代表二进制信息的随机单极性脉冲序列。
七、实验步骤
(一)ASK 调制实验 1、 将信号源模块和模块 3、4、7 固定在主机箱上,将黑色塑封螺钉拧紧,确保电源接触 良好。 2、 按照下表进行实验连线: 源端口 信号源:PN(8K) 信号源: 64K 同步正弦波 目的端口 模块 3:ASK-NRZ 模块 3:ASK 载波 连线说明 S4 拨为 1100,PN 是 8K 伪随机序列 提供 ASK 调制载波,幅度为 4V
ASK调制及解调实验报告
实验三ASK调制及解调实验、实验目的1、掌握用键控法产生 ASK信号的方法。
2、掌握ASK非相干解调的原理。
、实验器材1、主控&信号源、9号模块各一块2、双踪示波器一台3、连接线若干三、实验原理1、实验原理框图ASK调制及解调实验原理框图2、实验框图说明ASK调制是将基带信号和载波直接相乘。
已调信号经过半波整流、低通滤波后,通过门限判决电路解调出原始基带信号。
四、实验步骤实验项目一 ASK调制概述:ASK调制实验中,ASK (振幅键控)载波幅度是随着基带信号的变化而变化。
在本项目中,通过调节输入PN序列频率或者载波频率,对比观测基带信号波形与调制输出波形,观测每个码元对应的载波波形,验证ASK调制原理。
1、关电,按表格所示进行连线。
2、开电,设置主控菜单,选择【主菜单】T【通信原理】T【ASK数字调制解调】将9号模块的S1拨为0000。
3、此时系统初始状态为: PN序列输出频率32KHZ,调节128KHZ载波信号峰峰值为 3V。
4、实验操作及波形观测。
(1)分别观测调制输入和调制输出信号:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH4,验证ASK调制原理。
RIGOL-aoooaojocu?T f- 0 a oorvpT…「. 7TpF 口讲(&卫;1二 融 N 』=:41 V 1 _ …fit实验项目二 ASK 解调概述:实验中通过对比观测调制输入与解调输出,观察波形是否有延时现象,并验证 ASK 解调原理。
观测解调输出的中间观测点,如: TP4 (整流输出),TP5( LPF-ASK ),深入理解ASK 解调过程。
1?Ti 小r^ri »><B. ODusfiiv<m 血匚Fr-e(t=Zl Tell(2)将PN 序列输出频率改为 64KHz ,观察载波个数是否发生变化。
1、保持实验项目一中的连线及初始状态。
2、对比观测调制信号输入以及解调输出:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH6,调节W1直至二者波形相同;再观测TP4(整流输出)、TP5( LPF-ASK ) 两个中间过程测试点,验证ASK 解调原理。
通信原理实验振幅键控(ASK)调制与解调实验
《通信原理》实验报告实验七: 振幅键控(ASK)调制与解调实验实验九:移相键控(PSK/DPSK)调制与解调实验系别:信息科学与技术系专业班级:电信0902学生姓名:同组学生:成绩:指导教师:惠龙飞(实验时间:2011年12月1日——2011年12月1日)华中科技大学武昌分校ﻬ实验七振幅键控(ASK)调制与解调实验一、实验目的1、掌握用键控法产生ASK信号的方法。
2、掌握ASK非相干解调的原理。
一、实验器材1、 信号源模块一块 2、 ③号模块一块 3、 ④号模块一块 4、 ⑦号模块一块 5、 20M双踪示波器一台 6、 连接线若干二、基本原理调制信号为二进制序列时的数字频带调制称为二进制数字调制。
由于被调载波有幅度、频率、相位三个独立的可控参量,当用二进制信号分别调制这三种参量时,就形成了二进制振幅键控(2AS K)、二进制移频键控(2FSK)、二进制移相键控(2PS K)三种最基本的数字频带调制信号,而每种调制信号的受控参量只有两种离散变换状态。
1、 2ASK 调制原理。
在振幅键控中载波幅度是随着基带信号的变化而变化的。
使载波在二进制基带信号1或0的控制下通或断,即用载波幅度的有或无来代表信号中的“1”或“0”,这样就可以得到2AS K信号,这种二进制振幅键控方式称为通—断键控(O OK )。
2ASK 信号典型的时域波形如图9-1所示,其时域数学表达式为:2()cos ASK n c S t a A t ω=⋅(9-1)式中,A 为未调载波幅度,c ω为载波角频率,n a 为符合下列关系的二进制序列的第n 个码元:⎩⎨⎧=PP a n -出现概率为出现概率为110 ﻩﻩ (9-2)综合式9-1和式9-2,令A =1,则2ASK 信号的一般时域表达式为:t nT t g a t S c n s n ASK ωcos )()(2⎥⎦⎤⎢⎣⎡-=∑t t S c ωcos )(= ﻩ(9-3)式中,T s 为码元间隔,()g t 为持续时间 [-T s /2,T s /2] 内任意波形形状的脉冲(分析时一般设为归一化矩形脉冲),而()S t 就是代表二进制信息的随机单极性脉冲序列。
数字载波调制实验报告(3篇)
第1篇一、实验目的1. 理解数字载波调制的基本原理和过程。
2. 掌握常见的数字调制方式,如振幅键控(ASK)、频移键控(FSK)和相移键控(PSK)。
3. 学习数字调制信号的生成和解调方法。
4. 通过实验,加深对数字调制技术在实际通信系统中的应用理解。
二、实验原理数字载波调制是数字通信中一种常见的信号处理技术,它通过改变载波的某些参数(如幅度、频率或相位)来携带数字信息。
常见的数字调制方式包括:1. 振幅键控(ASK):通过改变载波的幅度来表示数字信息,通常用高电平表示“1”,低电平表示“0”。
2. 频移键控(FSK):通过改变载波的频率来表示数字信息,通常用不同的频率分别表示“1”和“0”。
3. 相移键控(PSK):通过改变载波的相位来表示数字信息,通常用不同的相位来表示不同的数字符号。
数字调制信号可以通过以下步骤生成:1. 基带信号生成:将数字信息转换成基带信号,通常为二进制序列。
2. 调制:将基带信号与载波信号相乘,得到已调信号。
3. 滤波:对已调信号进行滤波,去除不必要的频率分量。
数字调制信号的解调过程如下:1. 载波恢复:从已调信号中恢复出载波信号。
2. 解调:将恢复的载波信号与已调信号相乘,得到基带信号。
3. 判决:根据基带信号的幅度或频率,判断原始数字信息。
三、实验器材1. 数字信号发生器2. 数字示波器3. 数字信号分析仪4. 信号源5. 连接线四、实验步骤1. 实验一:ASK调制和解调- 使用数字信号发生器生成二进制序列。
- 将基带信号与载波信号相乘,得到ASK调制信号。
- 使用数字示波器观察ASK调制信号的波形。
- 将ASK调制信号与恢复的载波信号相乘,得到解调信号。
- 使用数字示波器观察解调信号的波形。
2. 实验二:FSK调制和解调- 使用数字信号发生器生成二进制序列。
- 将基带信号与两个不同频率的载波信号相乘,得到FSK调制信号。
- 使用数字示波器观察FSK调制信号的波形。
幅移键控实验报告
一、实验目的1. 了解幅移键控(ASK)的基本原理和调制过程。
2. 通过实验验证ASK调制信号的生成和解调过程。
3. 分析ASK调制系统的性能,包括带宽、信噪比等。
二、实验原理幅移键控(Amplitude Shift Keying,ASK)是一种数字调制方式,通过改变载波信号的振幅来表示数字信号。
在ASK调制中,数字信号1用高振幅的载波信号表示,而数字信号0则用低振幅或无载波信号表示。
三、实验设备1. 数字信号发生器2. 调制器3. 解调器4. 示波器5. 计算器四、实验步骤1. 设置实验参数- 设置数字信号发生器产生二进制序列信号。
- 设置载波信号发生器产生固定频率的正弦波信号。
- 设置调制器将数字信号与载波信号进行ASK调制。
- 设置解调器对接收到的ASK信号进行解调。
2. 生成ASK调制信号- 打开数字信号发生器,产生一个连续的二进制序列信号。
- 打开载波信号发生器,产生一个固定频率的正弦波信号。
- 将数字信号和载波信号输入调制器,进行ASK调制。
- 使用示波器观察调制后的信号波形。
3. 解调ASK信号- 将调制后的信号输入解调器。
- 使用示波器观察解调后的信号波形。
- 比较解调后的信号与原始数字信号,验证ASK调制和解调的正确性。
4. 分析ASK调制系统的性能- 测量ASK调制信号的带宽。
- 测量ASK调制信号的信噪比。
- 分析ASK调制系统的性能,包括调制效率、误码率等。
五、实验结果与分析1. ASK调制信号的波形通过示波器观察到的ASK调制信号波形如图1所示。
可以看出,数字信号1对应高振幅的载波信号,而数字信号0对应低振幅或无载波信号。
![ASK调制信号波形](https:///ask_signal_waveform.png)2. ASK调制信号的带宽根据实验数据,ASK调制信号的带宽为B = 2f,其中f为载波信号的频率。
假设载波信号频率为1kHz,则带宽为2kHz。
3. ASK调制信号的信噪比根据实验数据,ASK调制信号的信噪比为SNR = 20log10(信号功率/噪声功率)。
二进制振幅键控(ASK)调制器与解调器VHDL设计
--文件名:ASK.vhd --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 --最后修改日期:2004.3.16 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK is port(clk :in std_logic; --系统时钟 start:in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end ASK; architecture behav of ASK is signal q:integer range 0 to 3; --分频计数器 signal f :std_logic; --载波信号
也就是取样判决器的输入信号。
(2)发“0”码时情况 发“0”码时,ASK信号输入为0,噪声仍然存在, 经过低通滤波器后,输出信号为x(t),
x(t)也是取样判决器的输入信号。 综合上面的分析,可得
下面讨论判决问题。 若没有噪声,上式简化为
此时判决电平取0~A的中间值A/2,大于A/2判为 “1”码,小于A/2判为“0”码。在无噪声时,判 决一定是正确的。
ASK调制VHDL程序仿真图及注释
注:a.基带码长等于载波f的6个周期。 b. 输出的调制信号y滞后于输入基带信号x一个clk时间。
ASK解调VHDL程序及仿真
ASK解调VHDL程序
--文件名:ASK2 --功能:基于VHDL硬件描述语言,对ASK调制信号进行解调 --最后修改日期:2004.2.12 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK2 is port(clk :in std_logic; --系统时钟 start :in std_logic; --同步信号 x :in std_logic; --调制信号 y :out std_logic); --基带信号 end ASK2; architecture behav of ASK2 is signal q:integer range 0 to 11; --计数器
ASK调制解调
基于Simulink的ASK频带传输系统仿真与性能分析实验目的:1)熟悉数字调制系统的的几种基本调制解调方法;2)学会运用Matlab、Simulink设计这几种数字调制方法的仿真模型;3)通过仿真,综合衡量系统的性能指标。
实验原理及分析:数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,所以本文主要讨论二进制的调制与解调,最后简单讨论一下多进制调制中的MFSK(M元移频键控)和MPSK(M元移相键控)。
最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK和2-DPSK)等。
此次实验二进制振幅键控,即——2—ASK。
典型的数字通信系统由信源、编码解码、调制解调、信道及信宿等环节构成,其框图如图3.1所示:数字调制是数字通信系统的重要组成部分,数字调制系统的输入端是经编码器编码后适合在信道中传输的基带信号。
对数字调制系统进行仿真时,我们并不关心基带信号的码型,因此,我们在仿真的时候可以给数字调制系统直接输入数字基带信号,不用再经过编码器。
图3.1 数字通信系统模型根据Simulink提供的仿真模块,数字调制系统的仿真可以简化成如图3.2所示的模型:图3. 2 数字调制系统仿真框图通常,二进制振幅键控信号(2-ASK )的产生方法(调制方法)有两种,如图3.3所示:(a)(b)图3.3 2-ASK 信号产生的两种方法2-ASK 解调的方法也有两种相应的接收系统组成方框如图3.4所示:图3.4 2-ASK 信号接收系统组成框图根据3.3(a )所示方框图产生2-ASK 信号,并用图3.4(b )所示的相干解调法来解调,设计2-ASK 仿真模型如图3.5所示:图3.5 2-ASK模型在该模型中,调制和解调使用了同一个载波,目的是为了保证相干解调的同频同相,虽然这在实际运用中是不可能实现的,但是作为仿真,这样能获得更理想的结果。
仿真波形及分析:ASK调制与解调整个ASK的仿真系统的调制与解调过程为:首先将信号源的输出信号与载波通过相乘器进行相乘,在接收端通过带通滤波器后再次与载波相乘,接着通过低通滤波器、抽样判决器,最后由示波器显示出各阶段波形,并用误码器观察误码率。
ASK调制及解调实验报告
实验三ASK调制及解调实验一、实验目的1、掌握用键控法产生ASK信号的方法。
2、掌握ASK非相干解调的原理。
二、实验器材1、主控&信号源、9号模块各一块2、双踪示波器一台3、连接线若干三、实验原理1、实验原理框图ASK调制及解调实验原理框图2、实验框图说明ASK调制是将基带信号和载波直接相乘。
已调信号经过半波整流、低通滤波后,通过门限判决电路解调出原始基带信号。
四、实验步骤实验项目一ASK调制概述:ASK调制实验中,ASK(振幅键控)载波幅度是随着基带信号的变化而变化。
在本项目中,通过调节输入PN序列频率或者载波频率,对比观测基带信号波形与调制输出波形,观测每个码元对应的载波波形,验证ASK调制原理。
1、关电,按表格所示进行连线。
2、开电,设置主控菜单,选择【主菜单】→【通信原理】→【ASK数字调制解调】。
将9号模块的S1拨为0000。
3、此时系统初始状态为:PN序列输出频率32KHz,调节128KHz载波信号峰峰值为3V。
4、实验操作及波形观测。
(1)分别观测调制输入和调制输出信号:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH4,验证ASK调制原理。
(2)将PN序列输出频率改为64KHz,观察载波个数是否发生变化。
实验项目二ASK解调概述:实验中通过对比观测调制输入与解调输出,观察波形是否有延时现象,并验证ASK解调原理。
观测解调输出的中间观测点,如:TP4(整流输出),TP5(LPF-ASK),深入理解ASK解调过程。
1、保持实验项目一中的连线及初始状态。
2、对比观测调制信号输入以及解调输出:以9号模块TH1为触发,用示波器同时观测9号模块TH1和TH6,调节W1直至二者波形相同;再观测TP4(整流输出)、TP5(LPF-ASK)两个中间过程测试点,验证ASK解调原理。
3、以信号源的CLK为触发,测9号模块LPF-ASK,观测眼图。
五、实验报告1、分析实验电路的工作原理,简述其工作过程;ASK是一种相对简单的调制方式,幅移键控(ASK)相当于模拟信号中的调幅,只不过与载频信号相乘的是二进制数码而已。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《通信原理》实验报告实验七:振幅键控(ASK)调制与解调实验系别:信息科学与工程学院专业班级:通信工程1003班学生姓名:揭芳学号:20101182073同组学生:杨义奥成绩:指导教师:惠龙飞(实验时间:20 12 年12 月21 日——20 12 年12 月21 日)华中科技大学武昌分校一、实验目的1、 掌握用键控法产生ASK 信号的方法。
2、 掌握ASK 非相干解调的原理。
二、实验内容1、 观察ASK 调制信号波形2、 观察ASK 解调信号波形。
三、实验器材1、 信号源模块 一块2、 ③号模块 一块3、 ④号模块 一块4、 ⑦号模块 一块5、 60M 双踪示波器 一台6、 连接线 若干四、基本原理调制信号为二进制序列时的数字频带调制称为二进制数字调制。
由于被调载波有幅度、频率、相位三个独立的可控参量,当用二进制信号分别调制这三种参量时,就形成了二进制振幅键控(2ASK)、二进制移频键控(2FSK )、二进制移相键控(2PSK)三种最基本的数字频带调制信号,而每种调制信号的受控参量只有两种离散变换状态。
1、 2ASK 调制原理。
在振幅键控中载波幅度是随着基带信号的变化而变化的。
使载波在二进制基带信号1或0的控制下通或断,即用载波幅度的有或无来代表信号中的“1”或“0”,这样就可以得到2ASK 信号,这种二进制振幅键控方式称为通—断键控(OOK )。
2ASK 信号典型的时域波形如图9-1所示,其时域数学表达式为:2()cos ASK n c S t a A t ω=⋅ (9-1)式中,A 为未调载波幅度,c ω为载波角频率,n a 为符合下列关系的二进制序列的第n个码元:⎩⎨⎧=PP a n -出现概率为出现概率为11(9-2)综合式9-1和式9-2,令A =1,则2ASK 信号的一般时域表达式为:t nT t g a t S c n s n ASK ωcos )()(2⎥⎦⎤⎢⎣⎡-=∑t t S c ωcos )(=(9-3)式中,T s 为码元间隔,()g t 为持续时间 [-T s /2,T s /2] 内任意波形形状的脉冲(分析时一般设为归一化矩形脉冲),而()S t 就是代表二进制信息的随机单极性脉冲序列。
0 Ts 2Ts 3Ts 4Ts1 0 1 1S 2A SK (t )A-Aa r 2tt图9-1 2ASK 信号的典型时域波形2ASK 信号的产生方法比较简单。
首先,因2ASK 信号的特征是对载波的“通-断键控”,用一个模拟开关作为调制载波的输出通/断控制门,由二进制序列()S t 控制门的通断,()S t =1时开关导通;()S t =0时开关截止,这种调制方式称为通-断键控法。
其次,2ASK 信号可视为S(t)与载波的乘积,故用模拟乘法器实现2ASK 调制也是很容易想到的另一种方式,称其为乘积法。
2、 2ASK 解调原理。
2ASK 解调有非相干解调(包络检波法)和相干解调(同步检测法)两种方法,相应的接收系统原理框图如图9-2所示:耦合电路低通滤波器抽样判决器位同步信号解调信号输出调制信号输入半波整流器(a )非相干方式耦合电路低通滤波器抽样判决器位同步信号解调信号输出调制信号输入相乘器相干载波(b )相干方式图9-2 2ASK 解调原理框图五、实验原理1、 ASK 调制电路在这里,我们采用的是通-断键控法,2ASK 调制的基带信号和载波信号分别从“ASK-NRZ ”和“ASK 载波”输入,其实验框图和电路原理图分别如图9-3、图9-4所示。
信号源CPLD隔离电路模拟开关4066PN 64K同步正弦波(8K)(载波输入)ASK 载波ASK-OUTASK 调制电路ASK-NRZ (基带信号输入)图9-3 ASK 调制实验框图1213U2A 406632184U1ATL082+12V-12VR110KR310KC11041TP1CARRIER INVCCC71041TP3ASK OUT1TP2SIN INTH1TH2TH3C31104C32470P图9-4 ASK 调制原理图2、 ASK 解调电路信号源CPLDPN 64K 同步正弦波(8K)输出ASK 载波ASK-NRZ(基带信号输入)ASK 调制电路耦合电路低通滤波器抽样判决器半波整流器ASK-OUT 输入ASKIN 位同步信号(7号板)DIN 输入BS 输出输入ASK-BS ASK 解调电路TH2输出OUT1ASK-DOUT 输出图9-5 ASK 解调实验框图我们采用的是包络检波法。
实验框图如图9-5所示。
ASK 调制信号从“ASKIN ”输入,经C1和R1组成的耦合电路至半波整流器(由D4、D5组成),半波整流后的信号经低通滤波器U4(TL082)、电压比较器U1(LM339)与参考电位比较后送入抽样判决器进行抽样判决,最后得到解调输出的二进制信号。
电位器W1用来调节电压比较器U1的判决电压。
判决电压过高,将会导致正确的解调结果的丢失;判决电压过低,将会导致解调结果中含有大量错码,因此,只有合理选择判决电压,才能得到正确的解调结果。
抽样判决用的时钟信号就是2ASK 基带信号的位同步信号,该信号从“ASK-BS ”输入,可以从信号源直接引入,也可以从同步信号恢复模块引入。
在实际应用的通信系统中,解调器的输入端都有一个带通滤波器来滤除带外的信道白噪声并确保系统的频率特性符合无码间串扰的条件。
本实验中为了简化实验设备,在调制部分的输出端没有加带通滤波器,并且假设信道是理想的,所以在解调部分的输入端也没有加带通滤波器。
六、测试点说明1、 信号输入点参考说明ASK-NRZ : ASK 基带信号输入点。
ASK 载波:ASK 载波信号输入点。
ASKIN :ASK 调制信号输入点。
ASK-BS :ASK 解调位同步时钟输入点。
2、 信号输出点参考说明ASK-OUT:ASK调制信号输出点。
TH2:ASK信号经低通滤波器后的信号观测点。
ASK-DOUT:ASK解调信号经电压比较器后的信号输出点(未经同步判决)。
OUT1:ASK解调信号输出点。
七、实验步骤(一)ASK调制实验1、将信号源模块和模块3、4、7固定在主机箱上。
双踪示波器,设置CH1通道为同步源。
2、关闭电源,按照下表进行实验连线:源端口目的端口连线说明信号源:PN(8K)模块3:ASK-NRZ S4拨为1100,PN是8K伪随机序列,码型:111100010011010信号源:64K同步正弦波模块3:ASK载波提供ASK调制载波,幅度为2V3、打开电源,观察并记录ASK载波、ASK-NRZ(ASK基带信号输入)。
CH1接ASK-NRZ信号做示波器的触发源,CH2接ASK-OUT输出波形(即为PN码经过ASK调制后的波形)。
观察的波形如下所示:图7-1 64K同步正弦波图7-2 ASK 调制输出波形其中CH1是8K 伪随机码,CH2是ASK 调制输出波形分析1:用载波幅度的有或无来代表信号中的“1”或“0”,这样就可以得到2ASK 信号。
分析2:用一个模拟开关作为调制载波的输出通/断控制门,由二进制序列()S t 控制门的通断,()S t =1时开关导通;()S t =0时开关截止,2ASK 信号可视为S(t)与载波的乘积。
(二)ASK 解调实验1、 关闭电源。
接着上面ASK 调制实验继续连线: 源端口目的端口 连线说明 模块3:ASK-OUT 模块4:ASKIN ASK 解调输入模块4:ASK-DOUT 模块7:DIN 锁相环法位同步提取信号输入 模块7:BS 模块4:ASK-BS提取的位同步信号2、打开电源,将模块7上的拨码开关S2拨为“ASK-NRZ ”频率的16倍。
如本例“ASK-NRZ ”选8K 时,S2应选128K ,即拨“1000”。
观察模块4上的信号输出点“ASK-DOUT ”处的波形,把电位器W3逆时针拧到最大,并缓慢调节电位器W1(改变判决门限),直到在“ASK-DOUT ”处观察到稳定的PN 码。
观察得到的波形如下:图7-3 提取的位同步信号CH1是8K伪随机码,CH2是提取的位同步信号。
图7-4 非相干解调半波整流输出CH1是ASK调制信号,CH2是半波整流输出。
分析3:半波整流输出ASK的上半部分,由调制信号通过耦合电路进入半波整流器形成。
图7-5 非相干解调低通输出CH1是ASK调制信号,CH2是二阶低通输出。
分析4:当传输条件优越时,LPF输出的波形上下的幅度保持一致,反之则会参差不齐,二阶低通输出的波形是近似ASK信号的矩形波,只是在相位和幅度上有一定的差异。
图7-6 稳定的ASK解调比较输出波形CH1 是8K伪随机码,CH2是稳定的ASK解调-比较输出波形。
分析5:两者的波形基本上保持一致,并无实质性的差别。
3、观察ASK解调输出“OUT1”处的波形,并与信号源产生的PN码进行比较:CH1接ASK-NRZ信号做示波器的触发源,CH2接解调输出“OUT1”波形。
观察波形如下图所示:图7-7 解调判决输出波形CH1是8K伪随机码,CH2是恢复的基带信号。
分析6:波形基本上一致,ASK相干解调是利用载波信号去与已调信号相乘后,得出一个频率给高的谐波分量和一个直流分量,通过低通滤波器,滤除高频成分,保留所需的直流成分,也就是源输入基带信号。
4、实验结束关闭电源,拆除连线,整理实验数据与波形,完成实验报告。
八、实验总结:通过本次实验,了解ASK调制与解调的实验方法及原理,用键控法产生ASK信号的方法,ASK非相干解调的原理,对波形图的分析使我们对实验结论有了进一步的认识,为理论课的学习奠定了厚实的基础。
九、对实验八移频键控FSK调制与解调实验的分析观察得到的波形如下:图8-1 FSK载波(CH1是64K同步正弦波,CH2是128K同步正弦波)图8-2 FSK调制波形(CH1是8KB/S伪随机码,CH2是FSK调制)图8-3 “1”码调制输出波形(CH1是8kb/s伪随机码,CH2是“1”码调制输出波形)图8-4 “0”码调制输出波形(CH1是8kb/s伪随机码,CH2是“0”码调制输出波形)分析1:当FSK-NRZ码元为“1”时用频率为128KHZ的载波进行调制,当为“0”是用频率为8KHZ的载波进行调制,二进制信号通过通过两个与门电路,控制其中的一个载波,然后两个载波的ASK信号相加。
图8-5 观察到稳定的解调PN码(CH1是8kb/s伪随机码,CH2是稳定的解调PN码)(图8-6 FSK整形输出波形)(CH1是8kb/s PN码,CH2是FSK整形输出波形)图8-7 载波上升沿过零输出波形(CH1是8kb/s PN码,CH2是载波上升沿过零输出波形)图8-8 载波下降沿过零输出波形(CH1是8kb/s PN码,CH2是载波下降沿过零输出波形)图8-9 过零点相加输出波形(CH1是8kb/s PN码,CH2是过零点相加输出波形)8-10 过零点信号经低通滤波器后输出波形(CH1是8kb/s PN码,CH2是FSK解调信号经低通输出波形)分析2:2FSK信号的过零点数随不同载频而异,故检出过零点数就可以得到关于频率的差异。