EDA与硬件描述语言.
EDA技术及其应用(3)
1.4 EDA的优势
手工设计方法
●复杂电路的设计、调试十分困 难;
●无法进行硬件系统仿真,若某 一过程存在错误,查找和修改十 分不便;
●设计过程中产生大量文档,不 易管理;
●对于IC设计而言,设计实现过 程与具体生产工艺直接相关,因 此可移植性差;
●只有在设计出样机或生产出芯 片后才能进行实测;
EDA技术
在设计时加入用于测试的部分逻辑,即进行可测性设计(DFT ,Design For Test),在设计完成后用来测试关键逻辑。
在ASIC设计中的扫描寄存器,是可测性设计的一种,原理是 把ASIC中关键逻辑部分的普通寄存器用测试扫描寄存器来代替, 在测试中可以动态地测试、分析设计其中寄存器所处的状态,甚至 对某个寄存器加以激励信号,改变该寄存器的状态。
1.6.3 GAL
GAL的OLMC单元设有多种组态,可配置成专用组合输出、专 用输入、组合输出双向口、寄存器输出、寄存器输出双向口等, 为逻辑电路设计提供了极大的灵活性。由于具有结构重构和输出 端的任何功能均可移到另一输出引脚上的功能,在一定程度上, 简化了电路板的布局布线,使系统的可靠性进一步地提高。GAL 的出现是复杂PLD的里程碑。
1.11 编程与配置
目前常见的大规模可编程逻辑器件的编程工艺有三种: 1.基于电可擦除存储单元的EEPROM或Flash技术。 2.基于SRAM查找表的编程单元。 3.基于反熔丝编程单元。
1.12 FPGA配置器件
主动配置方式
由FPGA器件引导配置操作过程,它控 制着外部存储器和初始化过程
被动配置方式
1.2 EDA技术应用对象
全定制或半定制ASIC
实现目标 ASIC的设计和实现
FPGA/CPLD(或称可编程ASIC)开发应用
EDA课件第三章
tmp2:=d1 AND (NOT sel); tmp3:=tmp1 OR tmp2; tmp<=tmp3;
q<=tmp AFTER m; END PROCESS cale;
END ARCHITECTURE connect;
(2) 端口方向
端口方向用来定义外部引脚的信号方向是输入还 是输出。
凡是用“IN”进行方向说明的端口,其信号自端口 输入到构造体,而构造体内部的信号不能从该端口输 出。相反,凡是用“OUT”进行方向说明的端口,其信 号将从构造体内经端口输出,而不能通过该端口向构 造体输入信号。
实体说明
一个模块中仅有一 个设计实体。
实体 提供设计模块的接口信息,是VHDL设计电 路的最基本部分。
实体说明具有如下的结构:
ENTITY 实体名 IS
实体说明以“ENTITTY 实体名
[类属参数说明];
IS”开始至“END ENTITTY实体 名”结束。这里大写字母表示
实体说明的框架。实际上,对
此例中的外部引脚
ARCHITECTURE connect OF mux IS SIGNAL tmp:BIT; BEGIN
cale:PROCESS(d0,d1,sel) IS VARIABLE tmp1,tmp2,tmp3:BIT;
BEGIN tmp1:=d0 AND sel;
为d0,d1,sel,q 。
库(Library)是经编译后的数据的集合,它存放包集合 定义、实体定义、构造体定义和配置定义。
设计中的子程序和 公用数据类型的集合。
程序包
IEEE标准的标准程序包 设计者自身设计的程序包
包和库具有这样的关系:多个过程和函数汇集在一起构成包 集合,而几个包汇集在一起就形成一个库。
EDA综述
EDA综述报告前言EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了三个发展阶段:1、计算机辅助设计(CAD)2、计算机辅助工程设计(CAE)3、电子设计自动化(EDA)1、计算机辅助设计(CAD)随着集成电路的出现和应用,硬件设计进入到发展的初级阶段。
初级阶段的硬件设计大量选用中小规模标准集成电路。
在此阶段,人们开始将产品设计过程中高度重复性的繁杂劳动,如布图布线工作,用二维图形编辑与分析的CAD工具替代,最具代表性的产品就是美国ACCEL公司开发的Tango布线软件。
20世纪70年代,是EDA技术发展初期,由于PCB布图布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能比较差。
2、计算机辅助工程(CAE)随着微电子工艺的发展,相继出现了集成上万只晶体管的微处理器、集成几十万直到上百万储存单元的随机存储器和只读存储器。
此外,支持定制单元电路设计的硅编辑、掩膜编程的门阵列,如标准单元的半定制设计方法以及可编程逻辑器件(PAL和GAL)等一系列微结构和微电子学的研究成果都为电子系统的设计提供了新天地。
因此,可以用少数几种通用的标准芯片实现电子系统的设计。
20世纪80年代初,推出的EDA工具则以逻辑模拟、定时分析、故障仿真、自动布局和布线为核心,重点解决电路设计没有完成之前的功能检测等问题。
到了20世纪80年代后期,EDA工具已经可以进行设计描述、综合与优化和设计结果验证,CAE阶段的EDA工具不仅为成功开发电子产品创造了有利条件,而且为高级设计人员的创造性劳动提供了方便。
但是,大部分从原理图出发的EDA工具仍然不能适应复杂电子系统的设计要求,而具体化的元件图形制约着优化设计。
3、电子系统设计自动化阶段微电子技术的发展,特别是可编程逻辑器件的发展,使得微电子厂家可以为用户提供各种规模的可编程逻辑器件,设计者通过设计芯片实现电子系统功能。
EDA工具的发展,又为设计师提供了全线EDA工具。
2、EDA(概述)
陈 杨
EDA技术与 EDA技术与VHDL 技术
概
述
本章主要内容
一、EDA技术及其发展 EDA技术及其发展 二、EDA技术实现目标 EDA技术实现目标 三、硬件描述语言VHDL 硬件描述语言VHDL 四、VHDL综合 VHDL综合 五、基于VHDL的自顶向下设计方法 基于VHDL的自顶向下设计方法 VHDL 六、EDA与传统电子设计方法的比较 EDA与传统电子设计方法的比较 七、EDA的发展趋势 EDA的发展趋势
设计过程中的每一步都可称为一个综合环节。 设计过程中的每一步都可称为一个综合环节。
从自然语言转换到VHDL语言算法表示,即自然语言综合; VHDL语言算法表示 (1) 从自然语言转换到VHDL语言算法表示,即自然语言综合; 从算法表示转换到寄存器传输级(Register Level, (2) 从算法表示转换到寄存器传输级 (Register Transport Level , RTL),即从行为域到结构域的综合,即行为综合; RTL),即从行为域到结构域的综合,即行为综合; RTL级表示转换到逻辑门 包括触发器)的表示,即逻辑综合; 级表示转换到逻辑门( (3) RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合; 从逻辑门表示转换到版图表示(ASIC设计) 或转换到FPGA (ASIC设计 FPGA的 (4) 从逻辑门表示转换到版图表示 (ASIC 设计 ) , 或转换到 FPGA 的 配置网表文件,可称为版图综合或结构综合。 配置网表文件,可称为版图综合或结构综合。有了版图信息就可以 把芯片生产出来了。有了对应的配置文件,就可以使对应的FPGA FPGA变 把芯片生产出来了。有了对应的配置文件,就可以使对应的FPGA变 成具有专门功能的电路器件。 成具有专门功能的电路器件。
简述eda技术
简述eda技术EDA技术,即电子设计自动化技术(Electronic Design Automation),是应用计算机技术和软件工具来辅助电子系统的设计、验证和制造的一种技术。
EDA技术在电子系统设计领域起到了重要的作用,大大提高了设计效率和产品质量。
EDA技术主要包括电子系统级设计(ESL)、硬件描述语言(HDL)、逻辑综合、电路仿真、布局布线、测试和制造等方面。
其中,硬件描述语言是EDA技术的核心之一。
硬件描述语言是一种用于描述电子系统结构和行为的高级语言,常用的硬件描述语言有VHDL和Verilog。
通过硬件描述语言,设计工程师可以方便地描述电路的逻辑功能和时序特性,实现电路设计的高效、精确和灵活。
逻辑综合是EDA技术中的重要环节,它将高级语言描述的电路转化为门级电路的表示。
逻辑综合过程中,常常涉及到逻辑优化、时序优化和面积优化等技术。
逻辑综合的目标是使电路满足特定的性能指标,如时序约束、功耗限制和面积约束等,同时尽量减少电路的成本和设计周期。
电路仿真是EDA技术中另一个重要的环节,它通过计算机模拟电路的行为,验证电路的正确性和性能是否满足设计要求。
电路仿真可以分为功能仿真和时序仿真两个层次。
功能仿真主要验证电路的逻辑功能是否正确,而时序仿真则进一步验证电路的时序特性是否满足设计要求。
通过仿真,设计工程师可以及时发现和解决电路设计中的问题,提高设计的可靠性和稳定性。
布局布线是EDA技术中的另一个重要环节,它主要负责将逻辑电路映射到物理布局上,并进行连线。
布局布线过程中,需要考虑到电路的时序约束、功耗和面积等因素,以及避免电路中的时序冲突和信号干扰等问题。
布局布线的目标是使电路在给定的约束条件下,尽量满足性能要求,并达到最佳的物理布局效果。
测试是EDA技术中的另一个重要环节,它主要用于验证电路的正确性和可靠性。
测试过程中,常常需要设计和生成一系列的测试模式,以覆盖电路的所有可能工作状态,并通过测试模式来判断电路的输出是否与预期一致。
EDA总结知识点
EDA总结知识点eda总结知识点:第一章1.1.1eda的定义:是电子设计自动化(electriondesignautomation)的缩写,是90年代初,从计算机计算机辅助设计cad,计算机辅助制造cam,计算机辅助测试cat和计算机辅助工程(cae)的概念发展起来的。
窄EDA和宽EDA。
在这本书中,我们主要研究狭义的EDA。
狭义eda:以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真等等一系列的工作,最终形成集成电子系统或专用集成芯片的新技术。
也称为:ies/asic自动设计技术。
广义的EDA包括狭义的EDA,以及计算机辅助分析(PSpice、EWB、matlab)和印刷电路板计算机辅助设计PCB CAD技术(如Protel、OrCAD)。
因为在广义的EDA技术中,CAA 技术和PCB CAD技术都不具备逻辑综合和逻辑适配的功能,所以我们不能称之为真正意义上的EDA技术,最好称之为现代电子设计技术。
利用eda技术进行电子系统的设计,具有以下特点:(1)用软件的方式设计硬件(2)软件设计系统向硬件系统的转换由相关开发软件完成。
(3)在设计过程中,可以使用相关软件进行各种模拟;(4)系统可现场编程,在线升级;(5)整个系统可集成在一个芯片上,体积小、功耗低、可靠性高;eda技术进入21世纪后得到了更大的发展,主要表现在:1)使电子设计成果以自主知识产权的形式得到明确表达和确认;2)在仿真和设计方面支持标准语言的强大EDA软件已持续推出;3)电子技术领域全方位融入eda领域,例如:软件无线电的迅速崛起,模拟电路系统硬件描述语言的表达和设计的标准化,系统可编程模拟器件的出现等4)电子领域各个学科的界限更加模糊和包容:模拟和数字、软件和硬件、系统和设备、ASIC和FPGA、行为和结构等。
什么是EDA技术
什么是EDA技术EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。
EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。
综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。
综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。
也就是说,综合器是软件描述与硬件实现的一座桥梁。
综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。
适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。
适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。
硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。
HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。
设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。
目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。
eda名词解释
名词解释:1.EDA(Electronic Design Automation):电子设计自动化,是从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
2.HDL(Hardware Description Language):硬件描述语言,是一种以文本形式描述数字电路和数字系统的语言,是指对硬件电路进行行为描述、寄存器传输描述或者结构化描述的一种新兴语言。
3.FPGA(Field Programmable Gate Array):现场可编程逻辑门阵列,它采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输入输出模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。
FPGA利用小型查找表(16×1RAM)来实现组合逻辑。
4.CPLD(Complex Programmable Logic Device):复杂的可编程逻辑器件, 主要是由可编程逻辑宏单元(MC,Macro Cell)围绕中心的可编程互连矩阵单元组成。
是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。
其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。
5.IP(Internet Protocol): 网络之间互连的协议,也就是为计算机网络相互连接进行通信而设计的协议。
在因特网中,它是能使连接到网上的所有计算机网络实现相互通信的一套规则,规定了计算机在因特网上进行通信时应当遵守的规则。
EDA实验三 硬件描述语言的层次化设计(频率计)
实验三硬件描述语言的层次化设计一、4位十六进制频率计1.建立新工程,命名为bit4_16,建立VHD文件COUNTER4B.vhd,编写4位十六进制计数器程序,并对文件编译,将文件另存为可调用symbol元件。
计数器仿真结果:2.建立测频控制器的VHD文件:FTCTRL.vhd,编写测频控制器程序,并对文件编译,将文件另存为可调用symbol元件。
测频控制器的仿真波形:3.建立4位锁存器文件的VHD文件:REG4B.vhd。
编写锁存器程序,并对文件编译,将文件另存为可调用symbol元件。
4.建立总的4位十进制频率计的原理图文件: fenpin.bdf对其进行波形仿真,因学号为19,故将时钟信号频率设定在119HZ,然后进行波形仿真波形仿真结果:仿真结果如下:输入频率119HZ,频率计测得的频率为77H HZ,可知仿真结果无误。
关于76nCEO管脚的处理方法如图:二.8位十进制频率计1.建立新工程,命名为bit8_10,建立VHD文件COUNTER4B.vhd,编写4位十进制计数器程序,并对文件编译,将文件另存为可调用symbol元件。
计数器仿真结果:2.建立测频控制器的VHD文件:FTCTRL.vhd,编写测频控制器程序,并对文件编译,将文件另存为可调用symbol元件。
测频控制器的仿真波形:3.建立4位锁存器文件的VHD文件:REG4B.vhd。
编写锁存器程序,并对文件编译,将文件另存为可调用symbol元件。
4.建立总的4位十进制频率计的原理图文件: fenpin2.bdf,并对其进行仿真,因学号28,故将时钟信号频率设定在128HZ,然后进行波形仿真仿真结果;波形仿真结果:因学号19,输入频率119HZ,频率计测得的频率也为119HZ,可知仿真结果正确。
EDA
EDA概念整理1.EDA:(Electronic Design Automation)EDA技术是依赖于功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动的完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、布线布局,以及逻辑优化、仿真测试,直至实现既定的电子线路系统功能。
2.VHDL的全名VHSIC(Very High Speed Integrated Circuit)Hardware Description Language.VHDL是硬件描述语言的业界标准之一。
主要的硬件描述语言(HDL)有VHDL、Verilog HDL、System Verilog和System C.3.综合的含义:把抽象的实体结合成单个或统一的实体。
综合器转化的目标是底层的电路结构网表文件。
4.适配器也称结构综合器,他的功能是将有综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC,JAM格式的文件。
适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、逻辑布局不线操作。
5.EDA(FPGA/CPLD)设计流程:输入(图形输入[原理图、状态图、波形图输入]、HDL文本输入)、综合、适配、仿真(时序与功能)、编程下载、硬件测试。
6.IP核(Intellectual Property)定义:就是知识产权核或知识产权模块的意思。
7.可编程逻辑器件分类:8.FPGA/CPLD编程工艺分类:a.基于电擦除的存储单元的EEPROM或Flash技术。
CPLD一般使用此技术进行编程,CPLD被编程后改变了电可擦除存储单元中的信息,掉电后课保存。
b.基于SRAM查找表的编程单元。
掉电信息丢失,在下次上电后还需重新载入信息,大部分FPGA采用此工艺。
c.基于反熔丝编程单元。
Actel的FPGA、Xilinx早期的FPGA采用此结构,反熔丝技术编程方法是一次性可编程。
eda第三章 硬件描述语言(HHDL)基础
end kmux4;
类属说明 generic 实体中可以设置类属说明语句; 类属说明位于port语句之前; 类属是对电路模块可变常数的说明; 类属值在编写本模块时可以设置为一个确定值;
在其他程序调用该模块时,可以对类属值进行
重新设定;
看两个例子:
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY half_adder IS PORT (a,b : IN bit; s,c : OUT bit); END half_adder; ARCHITECTURE aa OF half_adder IS BEGIN s<=a xor b; c<=a and b; END ;
s : in std_logic;
y : out std_logic);
end kmux4;
实体编写的示例 4选1数据选择器 kmux4
entity kmux4 is
port ( d: in std_logic_vector (0 to 3);
a : in std_logic_vector (1 downto 0);
在电路单元设计时,为了提高集成度,通常沟
道长度总是希望保持最小值,而沟道宽度却可
以进行加长;
R /W
C W
AW
Cd Cs 3Cg
CMOS基本电路结构
通常采用N网络与P网络互补连接构成:
N网络实现逻辑,并联为“与”,串联为“或”
典型CMOS基本电路
CMБайду номын сангаасS反相器
第1章EDA概述
本章主要介绍EDA技术发展与主要内容,硬件描述语言 HDL ,EDA技术的层次化设计方法与流程,EDA软件,IP核 与互联网上的EDA资源。 学习目标 了解:EDA技术的主要内容,EDA工具各模块的的主要功 能 理解:EDA技术的层次化设计方法与流程 应用:掌握EDA技术的设计流程
主要内容:
1.1 EDA技术及其发展 1.2 1.3 1.4 1.5 1.6 硬件描述语言 EDA技术的层次化设计方法与流程 EDA工具软件简介 IP核 互联网上的EDA资源 本章小结 思考与练习
1.1 EDA技术及其发展
1.1.1 EDA技术的发展历程 EDA技术是以计算机为工作平台,以EDA软件工具为开发环 境,以硬件描述语言为设计语言,以可编程器件为实验载体,以 ASIC(Application-Specific Interated Circuit)、SoC(System On Chip) 芯片为目标器件,以数字系统设计为应用方向的电子产品自动化设 计过程。 随着现代半导体的精密加工技术发展到深亚微米(0.18~0.35um) 阶段,基于大规模或超大规模集成电路技术的定制或半定制ASIC 器件大量涌现并获得广泛的应用,使整个电子技术与产品的面貌发 生了深刻的变化,极大地推动了社会信息化的发展进程。而支撑这 一发展进程的主要基础之一,就是EDA技术。
1.2 硬件描述语言
表1-1 常见HDL语言列表
1.2 硬件描述语言
Verilog HDL语言是在1983年由GDA(Gate Way DesignAutomation)公司开发的,1989年CDS(Cadence Design System)公司收购了GDA公司, Verilog HDL语言成为CDS公司的私有 财产,1990年CDS公司公开了Verilog HDL语言,成立了OVI(Open Verilog Internation)组织来负责的Verilog HDL。IEEE于1995年制定 了Verilog HDL的IEEE标准即Verilog HDL 1364-1995。Verilog HDL 的增强版本于2001年批准为IEEE标准即Verilog HDL 1364-2001。 Verilog HDL最初是想用来做数字电路仿真和验证的,后来添加了 逻辑电路综合能力。 VHDL(Very high speed integrated Hardware Description Language)语言是超高速集成电路硬件描述语言,在20世纪80年代 后期由美国国防部开发的,并于1987年12月由IEEE标准化(定为 IEEE 1076-1987标准),之后IEEE又对87版本进行了修订,于1993 年推出了较为完善的93版本(被定为ANSI/IEEE 1076-1993标准), 使VHDL的功能更强大,使用更方便,2008年又推出了IEEE 10762008标准 。
EDA总结知识点
EDA总结知识点:第一章的定义: 是电子设计自动化(Electrion Design Automation)的缩写,是90年代初,从计算机计算机辅助设计CAD,计算机辅助制造CAM,计算机辅助测试CAT和计算机辅助工程(CAE)的概念发展起来的。
狭义EDA和广义EDA,本书我们主要研究的是狭义的EDA。
狭义EDA:以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真等等一系列的工作,最终形成集成电子系统或专用集成芯片的新技术。
也称为:IES/ASIC自动设计技术。
广义的EDA:包括狭义的EDA,还包括计算机辅助分析CAA技术(PSPICE, EWB,MATLAB),印刷电路版计算机辅助设计pcb-cad技术(例如:protel,orcad),因为广义的EDA技术中,CAA技术和pcb-cad技术不具备逻辑综合和逻辑适配等功能,因此我们不能称之为真正意义上的EDA技术,称为现代电子设计技术更好。
利用EDA技术进行电子系统的设计,具有以下特点:(1)用软件的方式设计硬件(2)用软件方式设计的系统到硬件系统的转换是由有关的开发软件完成(3)设计过程中可用有关软件进行各种仿真;(4)系统可现场编程,在线升级;(5)整个系统可集成在一个芯片上,体积小、功耗低、可靠性高;EDA技术进入21世纪后得到了更大的发展,主要表现在:1)使得电子设计成果以自主知识产权的方式得以明确表达和确认成为可能;2)在仿真和设计两个方面支持标准语言的功能强大的EDA软件不断推出;3)电子技术领域全方位融入EDA领域,例如:软件无线电的迅速崛起,模拟电路系统硬件描述语言的表达和设计的标准化,系统可编程模拟器件的出现等4)电子领域各学科的界限更加模糊,互为包容:模拟与数字,软件与硬件、系统与器件、ASIC与FPGA、行为与结构等。
EDA技术的基本概念
1 EDA技术的基本概念(什么是EDA技术,EDA做什么EDA即电子设计自动化,是Electronic Design Automa tion的英文缩写。
EDA技术是在电子CA D技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
具体讲就是:以大规模可编程逻辑器件为设计载体以硬件描述语言HDL(Hardwa re Descri ption Langua ge)为系统逻辑描述的主要表达方式以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合、优化、逻辑布局布线、逻辑仿真直至完成对于特定目标芯片适配编译、逻辑映射、编程下载等工作最终形成集成电子系统或专用集成芯片。
2 EDA技术开发流程设计输入硬件描述语言文本输入这种方式与传统的计算机软件语言编辑输入基本一致,就是将使用了某种硬件描述语言(HDL)的电路设计文本,如VHDL或V eri l o g的源程序,进行编辑输入。
仿真让计算机根据一定的算法和一定的仿真库对ED A设计进行模拟,以验证设计排除错误。
分为功能仿真和时序仿真两种不同级别的仿真测试综合综合器不是机械的一对一翻译根据设计库、工艺库以及预先设置的各类约束条件选择最优的方式完成电路结构的形成。
是选择一种能充分满足各项约束条件且最低成本的实现方案。
适配适配器也称结构综合器,功能是将综合产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam 格式的文件。
电子钟 EDA VHDL 硬件描述语言
5. 利用多余两位数码管完成秒表显示:A、精度达10ms;B、可以清零;C、完成暂停
可以随时记时、暂停后记录数据。
待改进功能:
1. 闹钟只是整点报时,不能手动设置报时时间,遗憾之一;
2. 秒表不能向秒进位,也就是最多只能记时100ms;
基于CPLD的VHDL语言数字钟(含秒表)设计
利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。
co:out std_logic);-------输出/进位信号
end MINSECONDb;
architecture SEC of MINSECONDb is
signal clk1,DOUT2:std_logic;
begin
process(clk,clrm)
variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数
entity SELTIME is
port(
clk:in std_logic;------扫描时钟
secm1,secm0,sec1,sec0,min1,min0,h1,h0:in std_logic_vector(3 downto 0);-----分别为秒个位/时位;分个位/
co<='1';
cnt0:="1001";
elsif cnt0<"1001" then
EDA常用术语及英文缩写
EDA常用术语及英文缩写电子设计自动化:EDA
片上系统:SOC
专用集成电路:ASIC
印制电路板:PCB
可编程逻辑器件:PLD
可编程模拟器件:PAC
在系统可编程模拟器件:ispPAC
复杂可编程逻辑器件:CPLD
现场可编辑门阵列:FPGA
硬件描述语言:HDL
可编程只读存储器:PROM
紫外线可擦除只读存储器:EPROM
电可擦除只读存储器:EEPROM
可编程阵列逻辑:PAL
通用阵列逻辑:GAL
可编程逻辑阵列:PLA
可编程逻辑阵列宏单元:LMC
输出逻辑宏单元:OLMC
可编程I/O单元:IOC
可编程内部连线:PIA
可编程逻辑块:CLB
输入/输出模块:IOB
可编程互连资源:PIR
数据选择器:MUX
在系统编程技术,在器件编程时,所用的无根信号线:(1):ispEN’:编程使能信号。
(2):SDO:数据输出线。
(3):SLCK:串行时钟线。
(4):SDI:向串行移位寄存器提供编程数据和其他命令。
(5):MODE:编程状态机的控制线。
全局布线区:GRP
输出布线区:ORP
逻辑阵列块:LAB
嵌入式阵列块:EAB
逻辑单元:LE
输入/输出单元:IOE
嵌入式系统块:ESB
边界扫描测试技术:BST
数字信号的硬件语言:VHDL Verilog HDL
模拟信号的硬件语言:AHDL
微波信号的硬件描述语言:MHDL。
本章首先介绍EDA技术和硬件描述语言及其发展过程
第1章概述本章首先介绍EDA技术和硬件描述语言及其发展过程,然后介绍基于EDA技术和VHDL的设计流程,以及EDA设计工具Quartus II.电子设计自动化技术及其发展微电子技术的进步主要表现在大规模集成电路加工技术即半导体工艺技术的发展上,使得表征半导体工艺水平的线宽已经达到了60nm,并还在不断地缩小,而在硅片单位面积上,集成了更多的晶体管.集成电路设计正在不断地向超大规模、极低功耗和超高速的方向发展,专用集成电路ASICApplication Specific Integrated Circuit的设计成本不断降低,在功能上,现代的集成电路已能够实现单片电子系统SOCSystem On a Chip.现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDAElectronic Design Automation 技术.EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDLHardware Description Language为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能.EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步.EDA技术在硬件实现方面融合了大规模集成电路制造技术、IC版图设计、ASIC测试和封装、FPGAField Programmable Gate Array/CPLDComplex Programmable Logic Device编程下载和自动测试等技术;在计算机辅助工程方面融合了计算机辅助设计CAD、计算机辅助制造CAM、计算机辅助测试CAT、计算机辅助工程CAE技术以及多种计算机语言的设计概念;而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等.因此,EDA技术为现代电子理论和设计的表达与实现提供了可能性.正因为EDA技术丰富的内容以及与电子技术各学科领域的相关性,其发展的历程同大规模集成电路设计技术、计算机辅助工程、可编程逻辑器件,以及电子设计技术和工艺的发展是同步的.就过去近30年的电子技术的发展历程,可大致将EDA技术的发展分为3个阶段.20世纪70年代,在集成电路制作方面,MOS工艺得到广泛的应用;可编程逻辑技术及其器件问世,计算机作为一种运算工具在科研领域得到广泛应用.而在后期,CAD的概念已见雏形,这一阶段人们开始利用计算机取代手工劳动,辅助进行集成电路版图编辑、PCB布局布线等工作.20世纪80年代,集成电路设计进入了CMOS互补场效应管时代,复杂可编程逻辑器件进入商业应用,相应的辅助设计软件投入使用;而在80年代末,出现了FPGA;CAE和CAD 技术的应用更为广泛,它们在PCB设计方面的原理图输入、自动布局布线及PCB分析,以及逻辑设计、逻辑仿真、布尔方程综合和化简等方面担任了重要的角色.特别是各种硬件描述语言的出现、应用和标准化方面的重大进步,为电子设计自动化必须解决的电路建模、标准文档及仿真测试奠定了基础.进入20世纪90年代,随着硬件描述语言的标准化进一步确立,计算机辅助工程、辅助分析和辅助设计在电子技术领域获得更加广泛的应用.与此同时,电子技术在通信、计算机及家电产品生产中的市场需求和技术需求,极大地推动了全新的电子设计自动化技术的应用和发展,特别是集成电路设计工艺步入了超深亚微米阶段,百万门以上的大规模可编程逻辑器件的陆续面世,以及基于计算机技术的面向用户的低成本大规模ASIC设计技术的应用,促进了EDA技术的形成.更为重要的是各EDA公司致力于推出兼容各种硬件实现方案和支持标准硬件描述语言的EDA工具软件的研究,更有效地将EDA技术推向成熟和实用.EDA技术在进入21世纪后,得到了更大的发展,突出表现在以下几个方面:在FPGA上实现DSP数字信号处理应用成为可能,用纯数字逻辑进行DSP模块的设计,使得高速DSP实现成为现实,并有力地推动了软件无线电技术的实用化和发展.基于FPGA的DSP技术,为高速数字信号处理算法提供了实现途径.嵌入式处理器软核的成熟,使得SOPCSystem On aProgrammable Chip步入大规模应用阶段,在一片FPGA上实现一个完备的数字处理系统成为可能.在仿真和设计两方面支持标准硬件描述语言的功能强大的EDA软件不断推出.电子技术领域全方位融入EDA技术,除了日益成熟的数字技术外,传统的电路系统设计建模理念发生了重大的变化,如软件无线电技术的崛起、模拟电路系统硬件描述语言的表达和设计的标准化、系统可编程模拟器件的出现、数字信号处理和图像处理的全硬件实现方案的普遍接受以及软硬件技术的进一步融合等.EDA使得电子领域各学科的界限更加模糊,更加互为包容,如模拟与数字、软件与硬件、系统与器件、ASIC与FPGA、行为与结构等.基于EDA的用于ASIC设计的标准单元已涵盖大规模电子系统及复杂IP核模块.软硬IPIntellectual Property核在电子行业的产业领域广泛应用.SOC高效低成本设计技术的成熟.系统级、行为验证级硬件描述语言的出现如System C,使复杂电子系统的设计和验证趋于简单.电子设计自动化应用对象一般地说,利用EDA技术进行电子系统设计,最后实现的目标是以下3种:全定制或半定制ASIC.FPGA/CPLD或称可编程ASIC开发应用.PCB印制电路板.实现目标的前两项可以归结为专用集成电路ASIC的设计和实现,ASIC是最终的物理平台,集中容纳了用户通过EDA技术将电子应用系统的既定功能和技术指标具体实现的硬件实体.一般而言,专用集成电路就是具有专门用途和特定功能的独立集成电路器件.根据这个定义,作为EDA技术最终实现目标的ASIC,可以通过下面3种途径来完成.1. 超大规模可编程逻辑器件FPGA和CPLD是实现这一途径的主流器件,它们的特点是直接面向用户、具有极大的灵活性和通用性、使用方便、硬件测试和实现快捷、开发效率高、成本低、上市时间短、技术维护简单、工作可靠性好等.FPGA和CPLD的应用是EDA 技术有机融合软硬件电子设计技术以及对自动化设计与自动化实现最典型的诠释.由于FPGA和CPLD的开发工具、开发流程和使用方法与ASIC有相通之处,因此这类器件通常也被称为可编程专用IC,或可编程ASIC.2. 半定制或全定制ASIC根据实现的工艺,基于EDA设计技术的半定制或全定制ASIC可统称为掩模MASKASIC,或直接称ASIC.ASIC大致分为门阵列ASIC、标准单元ASIC和全定制ASIC.门阵列ASIC:门阵列芯片包括预定制相连的PMOS和NMOS晶体管行.设计中,用户可以借助EDA工具将原理图或硬件描述语言模型映射为相应门阵列晶体管配置,创建一个指定金属互连路径文件,从而完成门阵列ASIC开发.由于有掩模的创建过程,门阵列有时也称掩模可编程门阵列MPGA.但是MPGA与FPGA完全不同,它不是用户可编程的,也不属于可编程逻辑范畴,而是实际的ASIC.MPGA出现在FPGA之前,FPGA技术则源自MPGA.现在,Altera的HardCopy、HardCopyII技术,可以提供一种把FPGA的设计转化为结构化ASIC的途径.标准单元ASIC:目前大部分ASIC是使用库中的不同大小的标准单元设计的,这类芯片一般称作基于单元的集成电路Cell-based IntegratedCircuits,CBIC.在设计者一级,库包括不同复杂程度的逻辑元件,如SSI逻辑块、MSI逻辑块、数据通道模块、存储器、IP以及系统级模块.库还包含每个逻辑单元在硅片级的完整布局,使用者只需利用EDA软件工具与逻辑块描述打交道即可,完全不必关心电路布局的细节.在标准单元布局中,所有扩散、接触点、过孔、多晶通道及金属通道都已完全确定,当该单元用于设计时,通过EDA软件产生的网表文件将单元布局块“粘贴”到芯片布局之上的单元行上.标准单元ASIC设计与FPGA设计开发的流程相似.全定制芯片:全定制芯片中,在针对特定工艺建立的设计规则下,设计者对于电路的设计有完全的控制权,如线的间隔和晶体管大小的确定.该领域的一个例外是混合信号设计,使用通信电路的ASIC可以定制设计其模拟部分.3. 混合ASIC混合ASIC不是指数模混合ASIC主要指既具有面向用户的FPGA可编程功能和逻辑资源,同时也含有可方便调用和配置的硬件标准单元模块,如CPU、RAM、ROM、硬件加法器、乘法器、锁相环等.Xilinx、Atmel和Altera公司已经推出了这方面的器件,如Virtex-4系列、Excalibur含ARM核和Stratix II系列等.混合ASIC为SOC和SOPC的设计实现提供了便捷的途径.VHDL硬件描述语言HDL是EDA技术的重要组成部分,常见的HDL主要有VHDL、Verilog HDL、ABEL、AHDL、SystemVerilog 和SystemC.其中VHDL、Verilog在现在的EDA设计中使用最多,也拥有几乎所有主流EDA工具的支持,而SystemVerilog和SystemC还处于完善过程中.本书将重点介绍VHDL的编程方法和使用技术.VHDL的英文全名是VHSICVery High Speed Integrated CircuitHardware Description Language,于1983年由美国国防部DOD发起创建,由IEEEThe Institute of Electrical and Electronics Engineers进一步发展,并在1987年作为“IEEE标准1076”发布.从此,VHDL成为硬件描述语言的业界标准之一.自IEEE公布了VHDL的标准版本IEEE Std 1076之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具支持VHDL.此后,VHDL在电子设计领域得到了广泛应用,并逐步取代了原有的非标准硬件描述语言.VHDL作为一个规范语言和建模语言,随着它的标准化,出现了一些支持该语言的行为仿真器.由于创建VHDL的最初目标是用于标准文档的建立和电路功能模拟,其基本想法是在高层次上描述系统和元件的行为.但到了20世纪90年代初,人们发现,VHDL不仅可以作为系统模拟的建模工具,而且可以作为电路系统的设计工具,可以利用软件工具将VHDL 源码自动地转化为文本方式表达的基本逻辑元件连接图,即网表文件.这种方法显然对于电路自动设计是一个极大地推进.很快,电子设计领域出现了第一个软件设计工具,即VHDL 逻辑综合器,它可以标准地将VHDL的部分语句描述转化为具体电路实现的网表文件.1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展了VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本.现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言.现在公布的最新VHDL标准版本是IEEE 1076-2002.VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性.VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力.因此,VHDL在支持各种模式的设计方法,如自顶向下与自底向上或混合方法方面,以及在面对当今许多电子产品生命周期的缩短,需要多次重新设计以融入最新技术、改变工艺等方面都表现出了良好的适应性.用VHDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力.EDA的优势在传统的数字电子系统或IC设计中,手工设计占了较大的比例.一般都是先按电子系统的具体功能要求进行功能划分,然后对每个子模块画出真值表,用卡诺图进行手工逻辑简化,写出布尔表达式,画出相应的逻辑线路图,再据此选择元器件,设计电路板,最后进行实测与调试.手工设计方法的缺点是:复杂电路的设计、调试十分困难.由于无法进行硬件系统仿真,如果某一过程存在错误,查找和修改十分不便.设计过程中产生大量文档,不易管理.对于IC设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差.只有在设计出样机或生产出芯片后才能进行实测.相比之下,EDA技术有很大不同:用HDL对数字系统进行抽象的行为与功能描述到具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期. EDA工具之所以能够完成各种自动设计过程,关键是有各类库的支持,如逻辑仿真时的模拟库、逻辑综合时的综合库、版图综合时的版图库、测试综合时的测试库等.这些库都是EDA公司与半导体生产厂商紧密合作、共同开发的.某些HDL本身也是文档型的语言如VHDL,极大地简化了设计文档的管理.EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能是日益强大的逻辑设计仿真测试技术.EDA仿真测试技术只需通过计算机就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后,还能对系统上的目标器件进行所谓边界扫描测试、嵌入式逻辑分析仪的应用,这一切都极大地提高了大规模系统电子设计的自动化程度.无论传统的应用电子系统设计得如何完美,使用了多么先进的功能器件,都掩盖不了一个无情的事实,即该系统对于设计者来说,没有任何自主知识产权可言,因为系统中的关键性器件往往并非出自设计者之手,这将导致该系统在许多情况下的应用直接受到限制.基于EDA技术的设计则不同,由于用HDL表达的成功的专用功能设计在实现目标方面有很大的可选性,它既可以用不同来源的通用FPGA/CPLD实现,也可以直接以ASIC来实现,设计者拥有完全的自主权,再无受制于人之虞.传统的电子设计方法至今没有任何标准规范加以约束,因此设计效率低、系统性能差、开发成本高、市场竞争能力小.EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变;它的开发工具是规范化的,EDA软件平台支持任何标准化的设计语言;它的设计成果是通用性的,IP核具有规范的接口协议;良好的可移植性与可测试性,为系统开发提供了可靠的保证.从电子设计方法学来看,EDA技术最大的优势就是能将所有设计环节纳入统一的自顶向下的设计方案中.EDA不但在整个设计流程上充分利用计算机的自动设计能力、在各个设计层次上利用计算机完成不同内容的仿真模拟,而且在系统板设计结束后仍可利用计算机对硬件系统进行完整的测试.面向FPGA的开发流程完整地了解利用EDA技术进行设计开发的流程对于正确地选择和使用EDA软件、优化设计项目、提高设计效率十分有益.一个完整的、典型的EDA设计流程既是自顶向下设计方法的具体实施途径,也是EDA工具软件本身的组成结构.设计输入图1-1所示是基于EDA软件的FPGA开发流程框图,下面将分别介绍各设计模块的功能特点.对于目前流行的用于FPGA开发的EDA软件,图1-1所示的设计流程具有普遍性.图1-1 FPGA的EDA开发流程将电路系统以一定的表达方式输入计算机,是在EDA软件平台上对FPGA/CPLD开发的最初步骤.通常,使用EDA工具的设计输入可分为以下两种类型.1. 图形输入图形输入通常包括原理图输入、状态图输入和波形图输入等方法.状态图输入方法就是根据电路的控制条件和不同的转换方式,用绘图的方法在EDA工具的状态图编辑器上绘出状态图,然后由EDA编译器和综合器将此状态变化流程图形编译综合成电路网表.波形图输入方法则是将待设计的电路看成是一个黑盒子,只需告诉EDA工具该黑盒子电路的输入和输出时序波形图,EDA工具即能据此完成黑盒子电路的设计.原理图输入方法是一种类似于传统电子设计方法的原理图编辑输入方式,即在EDA软件的图形编辑界面上绘制能完成特定功能的电路原理图.原理图由逻辑器件符号和连接线构成,图中的逻辑器件可以是EDA软件库中预制的功能模块,如与门、非门、或门、触发器以及各种含74系列器件功能的宏功能块,甚至还有一些类似于IP的功能块.2. 硬件描述语言文本输入这种方式与传统的计算机软件语言编辑输入基本一致,就是将使用了某种硬件描述语言HDL的电路设计文本,如VHDL或Verilog的源程序,进行编辑输入.综合综合Synthesis,就其字面含义应该为把抽象的实体结合成单个或统一的实体.因此,综合就是把某些东西结合到一起,把设计抽象层次中的一种表述转化成另一种表述的过程.对于电子设计领域的综合概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配而成的过程.事实上,设计过程中的每一步都可称为一个综合环节.设计过程通常从高层次的行为描述开始,以最底层的结构描述结束,每个综合步骤都是上一层次的转换.1从自然语言表述转换到VHDL语言算法表述,是自然语言综合.2从算法表述转换到寄存器传输级Register Transport Level,RTL表述,即从行为域到结构域的综合,是行为综合.3从RTL级表述转换到逻辑门包括触发器的表述,即逻辑综合.4从逻辑门表述转换到版图表述ASIC设计,或转换到FPGA的配置网表文件,可称为版图综合或结构综合.一般地,综合是仅对应于HDL而言的.利用HDL综合器对设计进行综合是十分重要的一步,因为综合过程将把软件设计的HDL描述与硬件结构挂钩,是将软件转化为硬件电路的关键步骤,是文字描述与硬件实现的一座桥梁.综合就是将电路的高级语言如行为描述转换成低级的,可与FPGA/CPLD 的基本结构相映射的网表文件或程序.当输入的HDL文件在EDA工具中检测无误后,首先面临的是逻辑综合,因此要求HDL源文件中的语句都是可综合的.在综合之后,HDL综合器一般都可以生成一种或多种文件格式网表文件,如EDIF、VHDL、Verilog等标准格式,在这种网表文件中用各自的格式描述电路的结构,如在VHDL网表文件中采用VHDL的语法,用结构描述的风格重新诠释综合后的电路结构.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件.由此可见,综合器工作前,必须给定最后实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用某种网表文件的方式对应起来,成为相应的映射关系.如果把综合理解为映射过程,那么显然这种映射不是唯一的,并且综合的优化也不是单纯的或一个方向的.为达到速度、面积、性能的要求,往往需要对综合加以约束,称为综合约束.布线布局适配适配器也称结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件.适配所选定的目标器件必须属于原综合器指定的目标器件系列.通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供,因为适配器的适配对象直接与器件的结构细节相对应.适配器就是将综合后网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、优化、布局布线操作.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真,同时产生可用于编程的文件.仿真在编程下载前必须利用EDA工具对适配生成的结果进行模拟测试,就是所谓的仿真.仿真就是让计算机根据一定的算法和一定的仿真库对EDA设计进行模拟,以验证设计,排除错误.仿真是在EDA设计过程中的重要步骤.图1-1所示的时序与功能门级仿真通常由PLD公司的EDA开发工具直接提供当然也可以选用第三方的专业仿真工具,它可以完成两种不同级别的仿真测试:1时序仿真.就是接近真实器件运行特性的仿真,仿真文件中已包含了器件硬件特性参数,因而仿真精度高.但时序仿真的仿真文件必须来自针对具体器件的适配器.综合后所得的EDIF等网表文件通常作为FPGA适配器的输入文件,产生的仿真网表文件中包含了精确的硬件延迟信息.2功能仿真.是直接对VHDL、原理图描述或其他描述形式的逻辑功能进行测试模拟,以了解其实现的功能是否满足原设计要求的过程.仿真过程不涉及任何具体器件的硬件特性.不经历适配阶段,在设计项目编辑编译或综合后即可进入门级仿真器进行模拟测试.直接进行功能仿真的好处是设计耗时短,对硬件库、综合器等没有任何要求.下载和硬件测试把适配后生成的下载或配置文件,通过编程器或编程电缆向FPGA或CPLD进行下载,以便进行硬件调试和验证Hardware Debugging.通常,将对CPLD的下载称为编程Program,对FPGA中的SRAM进行直接下载的方式称为配置Configure,但对于反熔丝结构和Flash结构的FPGA的下载和对FPGA的专用配置ROM的下载仍称为编程.FPGA与CPLD的分类主要是根据其结构特点和工作原理进行.通常的分类方法有以下几种:1以乘积项结构方式构成逻辑行为的器件称为CPLD,如Lattice的ispLSI系列、Xilinx的XC9500系列、Altera 的MAX7000系列和Lattice原Vantis的Mach系列等.2以查表法结构方式构成逻辑行为的器件称为FPGA,如Xilinx的SPARTAN系列、Altera的FLEX10K、ACEX1K或Cyclone系列等.当然也有从下载方式上分的.有关FPGA/CPLD下载的详细情况将在第2章中介绍.最后是将含有载入了设计的FPGA或CPLD的硬件系统进行统一测试,以便最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计.Quartus II概述本书给出的所有示例和实验都是基于Quartus II的,其应用方法和设计流程对于其他流行EDA工具的使用具有一定的典型性和普遍性,所以在此对它作一些介绍.QuartusII是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大的可编程逻辑器件供应商之一.QuartusII在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plus II的更新换代产品,其界面友好,使用便捷.在QuartusII上可以完成节所述的整个流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程.Altera的Quartus II 提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统SOPC 设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境.Quartus II设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器.QuartusII也可以利用第三方的综合工具,如Leonardo Spectrum、Synplify Pro、FPGA CompilerII,并能直接调用这些工具.同样,QuartusII具备仿真功能,同时也支持第三方的仿真工具,如ModelSim.此外,QuartusII与MATLAB和DSP Builder结合,可以进行基于FPGA的DSP系统开发和数字通信模块的开发.QuartusII包括模块化的编译器.编译器包括的功能模块有分析/综合器Analysis & Synthesis、适配器Fitter、装配器Assembler、时序分析器Timing Analyzer、设计辅助模块Design Assistant、EDA网表文件生成器EDA Netlist Writer、编辑数据接口Compiler Database Interface等.可以通过选择 Start Compilation来运行所有的编译器模块,也可以通过选择Start单独运行各个模块.还可以通过选择 Compiler Tool Tools 菜单,在 Compiler Tool窗口中运行该模块来启动编译器模块.在 Compiler Tool 窗口中,。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
• EDA设计方法:自上而下的设计方法
系统规格设计 功能级描述、仿真 模块化分、仿真
逻辑综合、优化、布局布线
定时仿真、定时检查
输出门级网表 ASIC芯片投片、PLD器件编程、测试
2.传统的设计方法是基于电路板的设计方法, EDA技术是基于芯片的设计方法
可编程逻辑器件
芯片设计
电路板构成
电子系统
3.描述方式不同 传统设计方法采用电路图为主; EDA设计方法以硬件描述语言 (HDL_Hard Description Language)为主 4.设计手段不同 传统设计方法以手工设计为主; EDA设计方法为自动实现,其方案验 证与设计、系统逻辑综合、布局布线、性 能仿真、器件编程均由EDA工具一体化完 成
• FPGA 在结构上主要分为三个部分,即可 编程逻辑单元,可编程输入 /输出单元和可 编程连线三个部分。CPLD在结构上主要包 括三个部分,即可编程逻辑宏单元,可编 程输入/输出单元和可编程内部连线。 • 高集成度、高速度和高可靠性是 FPGA/CPLD最明显的特点,其时钟延时可 小至ns级。结合其并行工作方式,在超高 速应用领域和实时测控方面有着非常广阔 的应用前景。
2.计算机辅助工程设计(CAE)阶段 20世纪80年代初,出现了低密度的可 编程逻辑器件(PAL_Programmable Array Logic和GAL_Generic Array Logic),相应 的EDA开发工具主要解决电路设计没有完 成之前的功能检测等问题。 80年代后期,EDA工具已经可以进行 初级的设计描述、综合、优化和设计结果 验证。
教材及参考资料
• 教材: 《VHDL硬件描述语言》 辛春艳编著 国防工业出版社 • 参考书: 《VHDL与数字电路设计》卢毅、赖杰 编著 科学出版社 《EDA技术及应用》谭会生,张昌凡 编著 西安电子科技大 学出版社 《CPLD/FPGA的开发与应用》徐光辉 徐志军 编著电子工业 出版社 • 相关的网站:
传统设计方法与EDA设计方法比较
• 传统方法 1.自下而上 2.通用的逻辑元器件 3.系统硬件设计的后期进 行仿真和调试 4.主要设计文件是电路原 理图 5.手工实现
• EDA 方法 1.自上而下 2. PLD (可编程逻辑器) 3.系统设计的早期进行仿 真和修改 4.多种设计文件,发展趋 势以HDL描述文件为 主 5.自动实现
第1章 EDA与硬件描述语言
1.1 电子设计自动化(EDA)技术
1.1.1 EDA技术的含义
Electronic Design Automation 电子设计自动化
1.1.2 EDA技术的发展历程 1. 早期电子CAD阶段 20世纪70年代,属EDA技术发展初期。 利用计算机、二维图形编辑与分析的CAD 工具,完成布图布线等高度重复性的繁杂 工作。 典型设计软件如Tango布线软件。
• EDA技术的广义定义范围包括: (1)半导体工艺设计自动化 (2)可编程器件设计自动化 (3)电子系统设计自动化 (4)印刷电路板设计自动化 (5)仿真与测试、故障诊断自动化 (6)形式验证自动化 统称为EDA工程
• EDA技术的狭义定义: 以大规模可编程逻辑器件为设计载体, 以硬件描述语言为系统逻辑描述的主要表 达方式,以计算机、大规模可编程器件的 开发软件及实验开发系统为设计工具,自 动完成用软件方式描述的电子系统到硬件 系统的逻辑编译、逻辑化简、逻辑仿真, 直至完成对于特定目标芯片的适配编译、 逻辑映射、编程下载等工作,最终形成集 成电子系统或专用集成芯片的一门多学科 融合的新技术。
1.1.3 传统设计方法与EDA设计方法的区别 1.设计思想的不同 • 传统设计方法:自下而上的设计方法
系统测试与性能分析
完整系统构成
电路板设计
固定功能元件
• 传统设计方法的缺点: 1.设计依赖手工和经验 2.设计依赖现有的通用元器件 3.设计后பைடு நூலகம்的仿真和调试 4.自下而上设计思想的局限 5.设计实现周期长,灵活性差,耗时耗力, 效率低下。
3.电子设计自动化(EDA)阶段 20世纪90年代,可编程逻辑器件迅速 发展,出现了功能强大的全线EDA工具。 具有较强抽象描述能力的硬件描述语言 (VHDL、Verilog HDL)及高性能综合工 具的使用,使过去单功能电子产品开发转 向系统级电子产品开发(即SOC_System On a Chip:单片系统或片上系统集成)。 开始实现“概念驱动工程(Concept Driver Engineering,CDE)的梦想。
VHDL硬件描述 语言
本课程的安排
• 学时数:56学时(课堂教学40学时,实验教学24学时) • 课堂教学内容: 第一部分、EDA与硬件描述语言概述 EDA技术硬件知识、软件知识、开发系统简介 第二部分、VHDL编程基础 VHDL语言的基本结构、语言元素、基本语句 第三部分、基本逻辑电路的设计 组合逻辑、时序逻辑、状态机设计 第四部分、MAX+PLUSII开发工具 第五部分、EDA实验开发系统 恒科电子 HK ——EDA实验开发系统 第六部分、VHDL设计应用实例 • 教学目的:掌握一门设计语言,熟悉一类设计工具
1.1.4 EDA技术的主要内容 • 实现载体:大规模可编程逻辑器件 (PLD_Programmable Logic Device) • 描述方式:硬件描述语言(HDL) VHDL、 Verilog HDL • 设计工具:开发软件、开发系统 • 硬件验证:实验开发系统
• 1. 大规模可编程逻辑器件 • 可编程逻辑器件(简称PLD)是一种 由用户编程以实现某种逻辑功能的新型逻 辑器件。FPGA和CPLD分别是现场可编程 门阵列和复杂可编程逻辑器件的简称。现 在,FPGA和CPLD器件的应用已十分广泛, 它们将随着EDA技术的发展而成为电子设 计领域的重要角色。
• 2. 硬件描述语言(HDL) • 常 用 的 硬 件 描 述 语 言 有 VHDL 、 Verilog、ABEL。 • VHDL :作为 IEEE 的工业标准硬件描 述语言,在电子工程领域,已成为事实上 的通用硬件描述语言。 • Verilog :支持的 EDA 工具较多,适 用于 RTL 级和门电路级的描述,其综合过 程较VHDL稍简单,但其在高级描述方面不 如VHDL。