电子设计自动化技术第四章quartusII使用
QuartusII软件的使用方法
![QuartusII软件的使用方法](https://img.taocdn.com/s3/m/037ee942a8956bec0975e38d.png)
QuartusII软件的使用方法冯海芹编四川托普信息技术职业学院电子与通信系QuartusII的设计流程QuartusII软件的使用方法一、设计输入1.建立工程任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。
此文件夹将被EDA 软件默认为工作库(Work Library)。
一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。
首先建立工作库目录,以便存储工程项目设计文件。
在D盘下新建文件夹并取名Mydesign。
双击QuartusII软件启动图标,即可启动QuartusII软件,启动界面如图1-2所示。
使用New Project Wizard 可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称,还可以指定要在工程中使用的设计文件、其他源文件、用户库和EDA 工具,以及目标器件系列和具体器件等。
在此要利用“New Preject Wizard”工具选项创建此设计工程,并设定此工程的一些相关的信息,如工程名、目标器件、综合器、仿真器等。
(1)打开建立新工程管理窗。
选择菜单File→New Preject Wizard 命令,即弹出“工程设置”对话框(图1-3),以此来建立新的工程。
(2)在单击图1-3后,出现了设置工程的基本信息,如图1-4所示。
单击此对话框最上一栏右侧的“… ”按钮,可以选择工程存放在硬盘上的位置,此例中将工程放在D盘Mydesign文件夹下。
这三行的第一行的d:\Mydesign表示工程所在的工作库文件夹;第二行的half_add 表示此项工程的工程名,工程名可以取任何其他的名,也可直接用顶层文件的实体名作为工程名,在此就是按这种方式取的名;第三行是当前工程顶层文件的实体名,这里即为half_add。
(2)将设计文件加入工程中。
单击图1-4中的Next 按钮,弹出对话框如图1-5所示,在对话框中单击File name 右侧的“… ”按钮,可以将与工程相关的所有VHDL 文件(如果有的话)加入进此工程,此工程文件加入的方法有两种:第1 种方法是单击“Add … ”按钮,从工程目录中选出相关的VHDL 文件;第2 种方法是单击Add All 按钮,将设定的工程目录中的所有VHDL 文件加入到工程文件栏中。
第4章 QuartusⅡ使用指南
![第4章 QuartusⅡ使用指南](https://img.taocdn.com/s3/m/12a8e1543c1ec5da50e270a0.png)
工程创建成功后, 工程创建成功后,在【Project Navigator】 】 资源管理窗口)显示当前工程的层次、 (资源管理窗口)显示当前工程的层次、文 件和设计单元。 件和设计单元。
11
4.2.3 转换MAX+PLUS II 工程 转换MAX+PLUS
12
4.3 设计输入
13Biblioteka 14类型 模块设计文 件 图形设计文 件 文本设计文 件
7
(4)单击【Next】按钮进入下一步,可以设 )单击【 】按钮进入下一步, 置第三方EDA工具。 工具。 置第三方 工具 (5)单击【Next】按钮进入【Summary】对 )单击【 】按钮进入【 】 话框, 话框,该对话框给出了所创建工程的详细信 确认无误后,单击【 息。确认无误后,单击【Finish】按钮完成工 】 程创建。 程创建。
使用任何标准EDIF 网表编写程序生成的 使用任何标准 EDIF200 版网表文件。 版网表文件。
15
4.3.1 使用文本编辑器输入
16
4.3.2 使用模块编辑器输入
1.常用基本单元输入 .
17
2.图表模块输入 .
18
4.3.3 使用宏功能模块输入
提供的宏功能和LPM 功能 表3 Altera 提供的宏功能和
19
20
4.3.4 使用自定义符号输入
21
22
4.4 器件与引脚分配
23
4.4.1 设置目标器件
24
4.4.2 引脚分配
25
26
4.5 项目编译
27
4.5.1 全编译与分步编译
全编译的过程包括分析与综合( 全编译的过程包括分析与综合(Analysis & Synthesis)、适配(Fitter)、编程(Assembler)、 )、适配 )、编程 )、适配( )、编程( )、 时序分析(Classical Timing Analysis)这4个环节,而 个环节, 时序分析 这 个环节 个环节各自对应相应的菜单命令, 这4个环节各自对应相应的菜单命令,可以单独分步 个环节各自对应相应的菜单命令 执行,也就是分步编译。 执行,也就是分步编译。 1、分析与综合(Analysis & Synthesis):对设计文 ):对设计文 、分析与综合( ): 件进行分析和检查输入文件是否有错误。 件进行分析和检查输入文件是否有错误。对应的菜 单命令是QuartusⅡ主窗口【Processing】菜单下 单命令是 Ⅱ主窗口【 】 【Start\Start Analysis & Synthesis】,对应的快捷 】 图标是在主窗口的工具栏上的按钮。 图标是在主窗口的工具栏上的按钮。
电子设计自动化技术第四篇QuartusⅡ操作入门与应用PPT课件
![电子设计自动化技术第四篇QuartusⅡ操作入门与应用PPT课件](https://img.taocdn.com/s3/m/5ac2532d680203d8ce2f24cc.png)
项目一、原理图输入法设计二输入与门
❖ 一、学习目标 ❖ 最终目标: ❖ 1. 掌握QuartusⅡ原理图输入设计法; ❖ 2.掌握运用QuartusⅡ进行FPGA开的流程。 ❖ 促成目标: ❖ 1.会进行工程、原理图文件、激励波形文件的创建、保存等操作; ❖ 2.会调用Symbol元件库和放置元件; ❖ 3.会准确进行连线操作; ❖ 4.会对所设计电路进行编译、仿真等操作; ❖ 5.会运用QuartusⅡ对所设计电路进行管脚锁定; ❖ 6.会运用QuartusⅡ对所设计电路进行编程下载; ❖ 7.会运用EDA实验系统对所设计电路进行验证测试。 ❖ 二、工作任务 ❖ 在Quartus sⅡ平台下,用FPGA设计一个二输入与门,并进行时序
仿真和下载到EDA实验系统进行测试。
项目一、原理图输入法设计二输入与门
❖ 三、理论知识
❖ 1.PLD简介
❖ 可编程逻辑器件PLD(Programmable Logic Device)是20世纪70年代发展起来的一种新型逻辑器件, 它是大规模集成电路技术与计算机辅助设计(CAD)、计 算机辅助生产(CAM)和计算机辅助测试(CAT)相结合 的一种产物,是现代数字电子系统向着超高集成度、超低 功耗、超小型封装和专用化方向发展的重要基础。它的应 用和发展不仅简化了电路设计,降低了成本,提高了系统 的可靠性和保密性,而且给数字系统的设计方法带来了革 命性的变化。
项目一、原理图输入法设计二输入与门
❖ 2)硬件描述语言文本输入方式。硬件描述语言采用文本方 式描述设计,其逻辑描述能力强,但不适合描述接口和连 接关系。如ABEL-HDL、Verilog-HDL、AHDL和 VHDL等,它们支持布尔方程、真值表、状态机等逻辑描 述方式,适合描述计数器、译码器、比较器和状态机等的 逻辑功能,在描述复杂设计时,非常简洁,具有很强的逻 辑描述和仿真功能,但硬件描述语言输入必须依赖综合器, 只有好的综合器才能把语言综合成优化的电路。对于大量 规范的、易于语言描述、易于综合、速率较低的电路,可 以采用这种输入方式。
第四讲QuartusII使用简介(自学)课件
![第四讲QuartusII使用简介(自学)课件](https://img.taocdn.com/s3/m/64446b3100f69e3143323968011ca300a6c3f6d5.png)
正常安装Quartus Ⅱ6.0的步骤如下
① 执行install
装入光盘, 进入到 QUARTUSII 6.0文件夹, 用鼠标左键 双击install图 标,将出现 如图所示窗口。
用鼠标左键单击该按钮, 将进入安装过程。
4
② 文件更新 进入光盘QUARTUSII6.0下的Crack6.0文件夹,复制 sys_cpt.dll文件。 进入硬盘中QUARTUSII6.0软件安装路径,将文件拷贝到硬 盘的altera\quartus6.0\win文件夹中,把原有的同名旧文件 用新文件覆盖。 ③ 软件注册 用写字板打开使用许可文件xxxx.dat,将其中的HOSID值改 为本机的网卡地址。
用鼠标左键单击Archive Project ,将出现对话框,引导 用户把项目的原版本重新保存在不同的路径下。
◆ Import Database:导入数据库。
用鼠标左键单击Import Database,将出现对话框,引 导用户导入数据库。
◆ Export Database:导出数据库。
用鼠标左键单击Export Database,将出现对话框,引 导用户导出数据库。
◆ Copy Project:拷贝项目。
用鼠标左键单击Copy Project,将出现对话框,引导用户把项目拷贝到 指定路径的文件夹中。
◆ Archive Project :构造项目。
用鼠标左键单击Archive Project ,将出现对话框,引导用户把项目保
存为不同版本。
18
◆ Restore Archived Project
1
可编程片上系统开发软件QuartusⅡ 6.0
要点
① Quartus Ⅱ6.0基础知识
熟悉 Quartus Ⅱ6.0 的工作界面,如何建立工程、顶 层文件和底层文件,浏览Quartus Ⅱ6.0的原理图库文件。
第四篇 Quartus II部分
![第四篇 Quartus II部分](https://img.taocdn.com/s3/m/e16aa869f5335a8102d22051.png)
10.2Quartus II介绍及应用10.2.1Quartus II软件介绍Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。
Altera是世界上最大可编程逻辑器件的供应商之一,Quartus II作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。
Quartus II设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具,界面友好,使用便捷,在Quartus II上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载等整个设计流程。
Quartus II开发系统的特点(1)开放的界面Quartus II具有开放性、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式(本书主要针对原理图输入的设计方法进行讲解),内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
(2)与结构无关Quartus II支持Altera公司的MAX3000A系列、MAX7000系列、MAX9000系列、ACEX 1K系列、APEX20K系列、APEX II系列、FLEX6000系列、FLEX10K系列,支持MAX7000/MAX3000等乘积项器件。
支持MAX II CPLD系列、Cyclone系列、Cyclone II、Stratix II系列、Stratix GX系列等。
此外,Quartus II通过和DSP Builder工具与Matlab/Simulink 相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。
4第4章 QuartusII应用向导
![4第4章 QuartusII应用向导](https://img.taocdn.com/s3/m/5c79fc671ed9ad51f01df254.png)
康芯科技
4.3 嵌入式逻辑分析仪使用方法
4.编译下载
6.启动SignalTap II进行采样与分析
图4-39 下载cnt10.sof并准备启动SignalTap II
KX
康芯科技
4.3 嵌入式逻辑分析仪使用方法
6.启动SignalTap II进行采样与分析
图4-40 SignalTap II采样已被启动
KX
康芯科技
4.3 嵌入式逻辑分析仪使用方法
7.SignalTap II的其他设置和控制方法
图4-41 SignalTap II数据窗设置后的信号波形
KX
康芯科技
4.4 原理图输入设计方法
4.4.1 设计流程
1. 为本项工程设计建立文件夹
假设本项设计的文件夹取名为adder, 路径为:d:\adder。
KX
康芯科技
习 题
4-10. 用D触发器构成按循环码(000->001->011->111->101->100->000)规律 工作的六进制同步计数器。 4-11. 应用4位全加器和74374构成4位二进制加法计数器。
4-12. 用74194、74273、D触发器等器件组成8位串入并出的转换电路,要 求在转换过程中数据不变,只有当8位一组数据全部转换结束后,输出才 变化一次。
KX
康芯科技
4.1 基本设计流程
4.1.2 创建工程
图4-4 选择目标器件EP2C5T144C8
KX
康芯科技
4.1 基本设计流程
4.1.2 创建工程
图4-5 将Max+plusII工程转换为QuartusII工程
KX
康芯科技
4.1 基本设计流程
quartus2使用指导
![quartus2使用指导](https://img.taocdn.com/s3/m/db9fd949cf84b9d528ea7a8c.png)
Q u a r t u s I I使用指南在这个实验中我们通过一个简单的实例来演示如何使用Quartus II。
在PLD器件上做一个完整的逻辑设计。
我们将在PLD上实现一个三人表决器的逻辑。
三人表决,以少数服从多数为原则,多数人同意则议案通过,否则议案被否决。
这里,我们使用三个按键代表三个参与表决的人,置“0”表示该人同意议案,置“1”表示该人同意议案;两个指示灯用来表示表决结果,LED1 点亮表示议案通过,LED2 点亮表示议案被否决。
真值表如下:S1 S2 S3 LED1 LED20 0 0 0 10 0 1 0 10 1 0 0 10 1 1 1 01 0 0 0 11 0 1 1 01 1 0 1 01 1 1 1 0下面我们就具体来实现这一设计。
1、双击桌面上Quartus II的图标,启动Quartus II软件。
2、通过File => New Project Wizard…菜单命令启动新项目向导。
3、在随后弹出的对话框上点击Next按钮,继续。
4、在What is the working directory for this project栏目中设定新项目所使用的路径;在What is the name of this project栏目中输入新项目的名字: vote,点击Next按钮。
5、在这一步,向导要求向新项目中加入已存在的设计文件。
因为我们的设计文件还没有建立,所以点击Next按钮,跳过这一步。
6、在这一步选择器件的型号。
Family栏目设置为Cyclone,选中Specific device selected in ‘Available devices’ list选项,在Avail able device窗口中选中所使用的器件的具体型号,这里以EP1C6Q240C8为例。
点击Next按钮,继续。
7、在这一步,可以为新项目指定综合工具、仿真工具、时间分析工具。
在这个实验中,我使用Quartus II6.0的默认设置,直接点击Next按钮,继续。
QuartusII使用-原理图输入步骤
![QuartusII使用-原理图输入步骤](https://img.taocdn.com/s3/m/b49022b17d1cfad6195f312b3169a4517723e591.png)
下载完成后,检查是否有错误信息,如有需要,根据 错误提示进行相应的处理。
谢谢观看
配置引脚分配
根据目标板上的可用引脚,为设计中的各个模块分配引脚。
开始编译
点击“开始编译”按钮,Quartus II将开始对原理图进行编译。
在编译过程中,可以实时查看编译进度和状态信息。
查看编译结果
01
编译完成后,Quartus II将显示编译结果,包括成功、警告和错 误信息。
02
根据编译结果,检查是否存在错误或警告,并相应地调整设计
或编译设置。
如果存在错误,可以查看错误详细信息,以便定位问题并进行
03
修复。
06
下载到 FPGA 设备
选择合适的下载方式
JTAG
通过JTAG接口进行下载,适用于大多数FPGA设备。
USB Blaster
使用USB Blaster进行下载,适用于Altera FPGA设备。
PCIe
通过PCIe接口进行下载,适用于某些高性能FPGA设备。
导出文件
将原理图导出为所需的文件格 式,如PDF、PNG等。
02
创建新项目
选择合适的项目类型
数字逻辑设计
适用于基本的数字逻辑电路设计,如 门电路、触发器等。
微处理器设计
适用于基于微处理器的系统设计,如 单片机、DSP等。
FPGA设计
适用于基于FPGA的硬件设计,如数 字信号处理、图像处理等。
嵌入式系统设计
03
打开原理图编辑器
启动原理图编辑器
打开Quartus II软件,点击"File"菜单, 选择"New" -> "Schematic File"。
实验一_QuartusII的使用
![实验一_QuartusII的使用](https://img.taocdn.com/s3/m/6bb157a918e8b8f67c1cfad6195f312b3169eb2b.png)
实验一_QuartusII的使用引言:Quartus II是一款由美国Intel公司开发的FPGA设计软件,广泛应用于数字集成电路设计和原型验证。
本实验将介绍Quartus II的基本使用方法,包括项目创建、设计输入、约束设置、编译与仿真等。
一、环境准备二、项目创建1.启动Quartus II软件,选择"File" -> "New Project Wizard"创建新项目。
在弹出的对话框中,选择项目的存储位置和名称,并选择合适的目标设备和设计流程。
点击"Next"进入下一步。
2.在第二步中,选择项目的项目类型和是否要添加预定义的IP (Intellectual Property)核。
IP核是现成的、可重用的模块,可以简化设计。
根据自己的需求进行选择,点击"Next"。
3.在第三步中,选择顶层设计文件的命名,并点击"Next"。
5.在第五步中,对项目的设置进行回顾,并点击"Finish"完成项目创建。
三、设计输入1.双击项目中的顶层设计文件,打开Design Entry工具。
在Design Entry工具中,可以通过图形界面或者Verilog/VHDL语言进行设计输入。
a.如果选择使用图形界面,可以在左侧工具栏中选择需要的元件,然后在设计区域中拖拽放置,最终形成需要的电路结构。
b.如果选择使用Verilog/VHDL语言,可以在设计区域中输入相应的代码,然后进行语法检查。
2.在设计完成后,可以使用编译按钮对设计进行编译。
编译过程中,Quartus II会对设计进行分析、优化和进行布线等操作,生成逻辑网表。
四、约束设置1.双击项目中的顶层设计文件,打开Design Constraints工具。
在Design Constraints工具中,可以设置时钟频率、信号约束、引脚约束等。
Quartus_II使用教程-完整实例(可打印修改)
![Quartus_II使用教程-完整实例(可打印修改)](https://img.taocdn.com/s3/m/fbbbde8e3b3567ec112d8ac6.png)
Quartus Ⅱ入门教程(一个Verilog 程序的编译和功能仿真)Quartus Ⅱ 是Altera 公司推出的专业EDA 工具,支持原理图输入、硬件描述语言的输入等多种输入方式。
硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。
接下来我们对这种智能的EDA 工具进行初步的学习。
使大家以后的数字系统设计更加容易上手。
●快捷工具栏:提供设置(setting ),编译(compile )等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。
●菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。
●信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。
第二步:新建工程(file>new Project Wizard )1工程名称:2添加已有文件(没有已有文件的直接跳过next )3 选择芯片型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯片)(注:如果不下载到开发板上进行测试,这一步可以不用设置)4 选择仿真,综合工具(第一次实验全部利用quartus 做,三项都选None ,然后next)5 工程建立完成(点finish)第三步:添加文件(file>new> VHDL file ),新建完成之后要先保存。
第四步:编写程序以实现一个与门和或门为例,Verilog 描述源文件如下:module test(a,b,out1,out2);input a,b;Output out1,out2;assign out1=a&b;assign out2=a | b;endmodule 然后保存源文件;第五步:检查语法(点击工具栏的这个按钮(start Analysis & synthesis ))点击确定完成语法检查第六步:(锁定引脚,点击工具栏的(pin planner ))(注:如果不下载到开发板上进行测试,引脚可以不用分配)双击location为您的输入输出配置引脚。
第四章QuartusII使用方法
![第四章QuartusII使用方法](https://img.taocdn.com/s3/m/63fa566c561252d380eb6e1f.png)
4)硬件测试。下载Cnt10.sof后通过试验系统来验证器件功能。
的实体名2将设计文件加入工程将工程相关的所有vhdl文件如果有加入此工程用addall按钮3选择仿真器和综合器类型选择next弹出窗口选择仿真器和综合器类型如果选默认的none表示选软件自带的仿真器和综合器可以另选仿真器和综合器尤其是选用非altera公司的器件的时候
利用VHDL完成电路设计后,必须借助EDA工具软件中 的综合器、适配器、时序仿真器、编程器等工具进行 相应的处理,才能使此项设计在FPGA上完成硬件实现 并得到硬件测试。在EDA工具的设计环境中,有多种 途径来完成目标电路系统的表达和输入方式,比如: HDL文本输入,原理图输入,状态图输入,及混合输 入等方式。HDL文本方式是最基本最直接的。本节通 过实例来详细介绍Quartus IIde VHDL文本输入设计流 程,包括:输入,综合,适配,仿真测试和编程下载 等方法。
4)选择目标器件闲置引脚状态。选择Unused Pins选项,可以 根据实际需要选择目标器件闲置引脚状态。可ห้องสมุดไป่ตู้择输入状态或 输出状态,或输出不定状态。
每一个选项功能可以参阅窗口下方的Description说明
Quartus II编译器是由一系列处理模块构成的,这些模块负责 对设计项目的检查,逻辑综合,结构综合,输出结果的编辑 配置,以及时序分析。在这个过程中,将设计项目适配到 FPGA/CPLD目标器件中,同时产生多种用途的输出文件,如 功能和时序信息文件,器件编程的目标文件等。编译器首先 检查出工程设计中的错误信息,然后产生一个结构化的网表 文件表达的原理图文件。
第4章_Quartus_II使用方法
![第4章_Quartus_II使用方法](https://img.taocdn.com/s3/m/04a01a88ec3a87c24028c4be.png)
KONXIN
图4-4 选择目标器件EP1C3T144C8
4.1 Quartus II 设计流程
(5)工具设置。 (6)结束设置。
3.编译前设置 (1)选择FPGA目标芯片。 Assignments→Device
图4-3 利用“New Preject Wizard”创建工程cnt10
4.1 Quartus II 设计流程
(2)将设计文件加入工程中。 单击图4-3设置窗口下方的Next按钮,弹出图4-4窗口。
图4-4 将所有相关的文件都加入进此工程
4.1 Quartus II 设计流程
(3)选择仿真器和综合器。
实验与设计
1、能在 8-9个时钟脉冲后完成 8位二进制数(加数被加数的输入方式为并行)的 加法运算,电路须考虑进位输入Cin和进位输出Cout; 2、给出此电路的时序波形,讨论其功能,并就工作速度与并行加法器进行比较; 3、在FPGA中进行实测。对于GW48 EDA实验系统,建议选择电路模式1(附录 图 3 ),键2,键 1输入8位加数;键 4 ,键 3输入 8位被加数;键 8作为手动单步时 钟输入;键7控制进位输入Cin;键9控制清0;数码6和数码5显示相加和;发光管 D1显示溢出进位Cout。 4、键8作为相加起始控制,同时兼任清0;工作时钟由clock0自动给出,每当键8 发出一次开始相加命令,电路即自动相加,结束后停止工作,并显示相加结果。 就外部端口而言,与纯组合电路8位并行加法器相比,此串行加法器仅多出一个加 法起始/清0控制输入和工作时钟输入端。 提示:此加法器有并/串和串/并移位寄存器各一。 (5) 实验报告:分析比较实验内容 1和2的仿真和实测结果,说明这两种电路的异 同点。详述实验内容3。
QuartusII软件使用说明
![QuartusII软件使用说明](https://img.taocdn.com/s3/m/1a756c4f03768e9951e79b89680203d8ce2f6ae5.png)
QuartusII软件使用说明Quartus II软件使用说明1.介绍Quartus II是一款由Intel开发的集成电路设计软件,主要用于数字逻辑电路的设计和开发。
本文将详细介绍Quartus II软件的安装、基本功能以及常用的设计流程。
2.安装2.1 硬件要求确定您的计算机是否符合Quartus II的硬件要求,包括处理器、内存和硬盘空间。
2.2 安装程序从Intel官方网站Quartus II的安装程序,并按照指引执行安装步骤。
2.3 许可证文件在安装过程中,您需要提供许可证文件以完成软件的激活。
3.Quartus II界面3.1 工程导航器工程导航器是Quartus II的主界面,您可以在此查看和管理当前项目下的所有文件和文件夹。
3.2 编辑器Quartus II提供了多种编辑器,包括原理图编辑器、文本编辑器和波形编辑器等。
您可以根据需要选择适合的编辑器进行设计和编码。
4.基本功能4.1 创建新工程在工程导航器中,选择“新建”并指定工程名称和存储位置,然后选择设计类型和顶层设计文件。
4.2 添加文件通过“添加文件”功能可以将需要的文件添加到工程中,包括原理图文件、VHDL文件和Verilog文件等。
4.3 综合与分析在设计过程中,需要进行综合和分析以验证电路功能和逻辑正确性。
4.4 时序约束通过时序约束可以设置电路的时钟频率、延迟和时序要求等。
4.5 编译报告编译报告可以查看设计的状态和一些警告或错误信息。
5.设计流程5.1 设计规划在设计之前,需要进行设计规划,包括确定设计目标、功能分析和资源规划等。
5.2 电路设计按照设计规划进行电路设计,包括原理图设计、RTL设计和模块设计等。
5.3 综合与优化对设计进行综合和优化,以达到性能和资源的平衡。
5.4 约束设置与时序分析设置时序约束并进行时序分析,以保证电路满足时序要求。
5.5 布局与布线对设计进行物理布局和布线,以优化电路的布局及信号传输路径。
电子设计自动化技术第四章quartusII使用
![电子设计自动化技术第四章quartusII使用](https://img.taocdn.com/s3/m/31f48a80e53a580216fcfeee.png)
输入设计项目和存盘
元件输入对话框
19
• (3)要输入74系列的符号,方法与步骤(2)相似,选择 其他库,打开maxplus2列表,从其中选择所要的74系列符 号。 • 当选择其他库或兆功能函数库中的符号时,图中的“以块 形式插入”复选框有效。如果选中该复选框,则插入的符 号以图形块的形状显示。 • (4)如果知道图形符号的名称,可以直接在Symbol对话 框的符号名称文本框中输入要调入的符号名称,Symbol对 话框将自动打开输入符号名称所在的库列表。如直接输入 74161,则Symbol对话框将自动定位到74161所在库中的列 表。 • (5)图形编辑器中放置的符号都有一个实例名称(如 inst1,可以简单理解为一个符号的多个复制项的名称), 符号的属性可以由设计者修改。在需要修改属性的符号上 右击,在弹出的下拉菜单中选择Properties命令,则弹出 Symbol Properties对话框,如图所示。在General选项卡中 可以修改符号的实例名;Ports可以对端口状态进行修改; Parameters选项卡中可以对LPM的参数进行设置;Format 选项卡可以修改符号的显示颜色等。
22
选择图形文件后,next,出现如下图形设计编辑窗; 双击输入元件和引脚,并连接电路,完成设计。 保存文件。
23
24
接下来编译写好的程序:
编译
25
编译窗口
26
开始:
27
修改所有编译中出现的错误; 编译中出现的警告一般可以忽略。 仿真分:功能仿真、时序仿真。
仿真
28
29
30
31
设置激励信号,并保存波形文件:
定制LPM_ROM元件
选择地址锁存信号inclock
53
QuartusII使用_图文
![QuartusII使用_图文](https://img.taocdn.com/s3/m/072f2724cfc789eb172dc83b.png)
按住鼠标左键,移动,选定一个区域
山东农业大学 信息学院
26
电子设计自动化(EDA)
CLICK
CLICK
山东农业大学 信息学院
27
电子设计自动化(EDA)
保存 山东农业大学 信息学院
28
电子设计自动化(EDA)
山东农业大学 信息学院
29
电子设计自动化(EDA) 引脚分配
CLICK
CLICK
8
电子设计自动化(EDA)
山东农业大学 信息学院
9
电子设计自动化(EDA)
CLICK
Libraries
primitives
logic 山东农业大学 信息学院
10
电子设计自动化(EDA)
DOUBLE CLICK
山东农业大学 信息学院
11
电子设计自动化(EDA)
CLICK CLICK
山东农业大学 信息学院
CLICK
按住鼠标左键,移动,选定一个区域
山东农业大学 信息学院
54
电子设计自动化(EDA)
CLICK
CLICK
山东农业大学 信息学院
55
电子设计自动化(EDA)
保存 山东农业大学 信息学院
56
电子设计自动化(EDA)
山东农业大学 信息学院
57
电子设计自动化(EDA) 引脚分配
CLICK
CLICK
基本设计流程
图形输入
(第3版)课本P95 5.1,5.2 P116 5.4 1位全加器设计向导
为本项工程设计建立文件夹(必须有)
文本输入
注意: 文件夹名不能用中文,且不可带空格。
山东农业大学 信息学院
《电子设计自动化应用技术—FPGA应用篇》路而红 第4章 FPGA开发工具使用
![《电子设计自动化应用技术—FPGA应用篇》路而红 第4章 FPGA开发工具使用](https://img.taocdn.com/s3/m/c6e3d010910ef12d2bf9e755.png)
第4章 FPGA开发工具使用
4.1 QuartusII 概述 4.2 QuartusII 使用 4.3 原理图文件输入 4.4 参数化模块库使用 4.5 层次化设计流程 4.6 嵌入式逻辑分析仪使用
4.1 QuartusII概述
QuartusII支持哪些FPGA的开发:Altera公司的各种系列的可编程逻辑器件开发,包括:ACEX 系列、APEX系列、ARM-based Excalibur系列、Cyclone系列、FLEX系列、HardCopy Stratix 系列、MAX系列、Mercury系列和Stratix系列等。 QuartusII是否有第三方工具的无缝连接: QuartusII提供了与第三方开发工具的无缝连接,支持 Cadence、Mentor、Synopsys等专业软件公司的综合工具和校验工具,能读入和生成标准的 EDIF、VHDL及Verilog HDL网表文件。 QuartusII使用的硬件环境:无论使用个人电脑、NUIX或Linux工作站,QuartusII都提供了方便 的实体设计、快速的编译处理以及编程功能。
2.任务窗口 3.信息窗口
1.编译快捷按钮
4.编译报告栏 5.编译总结报告
q
: out integer range 0 to 63);
end entity;
architecture rtl of myexam1 is
begin
process (clk)
Байду номын сангаас
variable cnt: integer range 0 to 63;
begin
if (rising_edge(clk)) then
(3)新建项目向导第三页,根据器件的封装形式、引脚数目和速度级别,选择目标器件。读者可 以根据具备的实验条件进行选择,这里选择的芯片是Cyclone系列中EP1C6Q240C8芯片。
第4章补充(QuartusII操作-详细)
![第4章补充(QuartusII操作-详细)](https://img.taocdn.com/s3/m/a92aeec9b4daa58da0114aea.png)
综合:将模块化设计产生的多个文件合并为一个网表文件,并使设计层
次平面化,即将逻辑描述转换成一些基本模块(如触发器、逻辑门等) 。
优化:进行逻辑化简,去除冗余项,尽量减少器件资源的耗用。
(2)器件适配(映射)
将设计分为多个适合具体器件内部逻辑资源实现的逻辑小块已分割的逻辑小块放到器件内部逻辑资源的具体位置,使易于 连线,且连线最少; 布线:利用器件的布线资源完成各功能块之间和反馈信号之间的连接。
2
3.1 数字系统设计流程
内容概要
一、设计输入 二、设计处理 三、模拟仿真 四、编程下载
3
3.1 数字系统设计流程
分析系统功能和性能要求 1
划分功能模块
2
设计各子模块
3
如果仿真结果与
各子模块进行综合、优化、仿真 3 预期要求不符,
应修改设计,再
对系统模拟仿真 5
重新仿真!
图3-1 数字系统设计流程
28
3.5 设计输入
5. 指定目标器件类型 ➢ 在“Family”栏中选
择“Stratix II”,选
择“Yes”单选钮; ➢ 单击“Next”; ➢ 在下一对话框中选择
具体的器件型号,然 后单击“Next”。
29
3.5 设计输入
6. 完成
➢ 在最后一步中, 显示了在前面几 步中所做选择的 信息。确认无误 后单击“Finish”。
一般用图形文件表示各子模块间的连接关系和芯片内部 逻辑与管脚的接口关系; • 描述系统最基本功能的模块放置在最下层,称为底层设 计,一般用HDL语言描述各子模块的逻辑功能。
8
3.1 数字系统设计流程
2. 设计处理
从设计输入文件到熔丝图文件(CPLD)
Quartus_II使用方法
![Quartus_II使用方法](https://img.taocdn.com/s3/m/97d81fb4dc88d0d233d4b14e852458fb770b3802.png)
Quartus_II使用方法第1章QUARTUS II2.1 QUARTUSII概述设计输入是设计者对系统要实现的逻辑功能进行描述的过程。
设计输入有多种表达方式,常用的用原理图输入、硬件描述语言输入、网表输入等。
1、原理图输入:原理图设计输入方式是利用软件提供的各种原理图库,采用画图的方式进行设计输入。
这是一种最为简单和直观的输入方式。
原理图输入方式的效率比较低,半只用于小规模系统设计,或用于在顶层拼接各个以设计完成的电路子模块。
2、硬件描述语言输入:这种设计输入方式是通过文本编辑器,用VHDL,Verilog或AHDL等硬件描述语言进行设计输入。
采用语言描述的有点事效率较高,结果容易仿真,信号观察方便,在不同的设计输入库之间转换方便,适用于大规模数字系统的设计。
但语言输入必须依赖综合器,只有好的综合器才能把语言综合成优化的电路。
3、网表输入:现代可编程数字系统设计工具都提供了和它第三方EDA工具相连接的接口。
采用这种方法输入时,可以通过标准的网表把它设计工具上已经实现了的设计直接移植进来,而不必重新输入。
一般开发软件可以接受的网表有EDIF格式、VHDL 格式及Verilog格式等。
在用网表输入时,必须注意在两个系统中采用库的对应关系,所有的库单元必须一一对应,才可以成功读入网表[6]。
2.2 QUARTUSII建立工程项目在Quartus II 中,用户的每个独立设计都对应一个工程项目,每个工程项目可包含一个或多个设计文件。
其中一个是顶层文件,编译器是对项目中的顶层文件进行编译的,项目同时还管理编译过程中产生的各种中间文件,这些中间文件的文件名相同,但后缀名不同。
为了便于管理,对于每个新的项目应该建立一个单独的子目录。
指定项目名称的步骤如下:1. 打开Quartus II,在File 菜单中选择New Project Wizard 项,将出现工程项目建立向导对话框。
如图2-1 (a)所示。
2. 点击“Next”,进入到如图2-1 (b)所示的工程项目命名对话框,在最上面的文本输入框中输入为该项目所建的目录名,如本例为“E:\Clock”,在中间的文本输入框中输入项目名称,本次实验为“adder”,在最下面的文本输入框中输入最顶层模块的名称“adder”。
第4章 QUARTUS_II使用方法
![第4章 QUARTUS_II使用方法](https://img.taocdn.com/s3/m/a9674737a5e9856a5612606d.png)
时序分析(Timing Analyzer)模块;
产生EDA工具网表(EDA Netlist Writer)模块: 目的是与其他EDA工具相衔接。
29
10:48
编译结果的报告
本例为加法器的编译结果:
10:48
30
容易出现的错误
错将设计文件存入了根目录,并将其设定成工程,找 不到工作库时,报错为: Error:Can’t open VHDL “WORK” 文件后缀名不是.vhd,在设定工程后编译时,报错为: Error : Line1 , File e:\half_adder\half_adder.tdf:TDF syntax error… 设计文件名与实体名不符时,如写成adder.vhd,编译 时,报错为: Error:Line1,…VHDL Design File “adder.vhd“ must contain…
10:48
24
4 位加法器的VHDL代码(续)
ARCHITECTURE behav OF Adder4 IS SIGNAL temp: std_logic_vector ( width DOWNTO 0 ); BEGIN temp <= ( ' 0 ' & a ) + b + cin; cout <= temp (width); sum <= temp ( width - 1 DOWNTO 0 ); END behav; -- 第 20 行 -- 第 21 行
( 1)选择 Create Project
( 2)点击 OK
10:48 27
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
44
务必将CPLD/FPGA芯片上
未使用的引脚设置为 “输入三态”类型。
45
46
• ENTITY mux21a IS • PORT( a, b : IN BIT ; • s : IN BIT; • y : OUT BIT ) ; • END ENTITY mux21a ;
• ARCHITECTURE one OF mux21a IS • BEGIN • y <= a WHEN s = '0' ELSE • b ; • END ARCHITECTURE one ;
《电子技术创新实践》
2008.11
1
可编程器件与Quartus II使用
• 第一讲 电子系统设计初步
可编程器件设计流程
建立工程
编写设计文件
文本输入 图形输入 模块输入
指定设计约束
器件选择 管脚分配
编
译
仿
真
编程 配置
PS模式 JTAG模式 AS模式
分析 综合 适配
建立波形文件 输入仿真节点 输入激励信号
41
重新编译项目 下载:
Tools→Programmer(sof文件)
采 用 JTAG模式 下 载
42
数字电路实验箱介绍
功能说明:
8 bits DIP开关 8 bits 复位开关、4×4矩阵键盘 8×8点阵输出、8位七段数码管 LCD液晶显示、27个LED显示 A/D、D/A转换、E2PROM等模块 0~5V、-2.5V~+2.5V模拟量输出 蜂鸣器、喇叭、JTAG模块 交通灯、电梯、步进电机、通信模块 VGA、USB、RS232接口模块 单片机小系统模块(含地址锁存) 4个信道脉冲输出 2信道连续可调脉冲输出(2HZ~24MHZ)
• 为引线和引脚命名 • 引线的命名方法是:在需要命名的引线上单击一 下,此时引线处于被选中状态,然后输入名字。 对单个信号线的命名,可用字母、字母组合或字 母与数字组合的形式,如A0、A1、clk等;对于n 位总线的命名,可以采用A[n–1...0]形式,其中A 表示总线名,可以用字母或字母组合的形式表示。 • 引脚的命名方法是:在放置引脚的pin_name处双 击,然后输入该引脚的名字,或在需命名的引脚 上双击,在弹出的引脚属性对话框的引脚名称文 本框中输入该引脚名。引脚的命名方法与引线命 名一样,也分为单信号引脚和总线引脚。
20
• 要建立一个完整的原理图设计文件,调入所需要的逻辑符 号以后,还需要根据设计要求进行符号之间的连线,以及 根据信号I/O类型放置I/O或双向引脚。 • (1)连线 • 符号之间的连线包括信号线和总线。如果需要连接两个端 口,则将鼠标指针移动到其中一个端口上,这时鼠标指针 自动变为“+”形状,一直按住鼠标的左键并拖动鼠标到 达第二个端口,放开左键,即可在两个端口画出信号线或 总线。在连线过程中,当需要在某个地方拐弯时,只需要 在该处放开鼠标左键,然后再继续按下左键拖动即可。 • (2)放置引脚 • 引脚包括输入(input)、输出(output)和双向(bidir) 三种类型,放置方法与放置符号的方法相同,即在图形编 辑窗口的空白处双击,在Symbol对话框的符号名称文本框 中输入引脚名,或在基本符号库的引脚库中选择,单击 OK按钮,对应的引脚就会显示在图形编辑窗口中。 • 要重复放置同一个符号,可以在Symbol对话框中选中重复 输入复选框,也可以将鼠标指针放在要重复放置的符号上, 按下Ctrl键和鼠标左键不放,此时鼠标指针右下角会出现 21 一个加号,拖曳鼠标指针到指定位置,松开鼠标左键就可 以复制符号了。
49
LPM_ROM宏模块应用
定制LPM_ROM元件
定制新的宏功能块
50
4.4 LPM_ROM宏模块应用
4.4.3 定制LPM_ROM元件
LPM宏功能块设定
51
4.4 LPM_ROM宏模块应用
4.4.3 定制LPM_ROM元件
52
选择data_rom模块数据线和地址线宽
LPM_ROM宏模块应用插入模版ຫໍສະໝຸດ 面13建立图形设计文件
建立图形设计文件
14
• QuartusⅡ图形编辑器也称为块编辑器 (Block Editor),用于以原理图 (Schematics)和结构图(Block Diagrams) 的形式输入和编辑图形设计信息。 QuartusⅡ的图形编辑器可以读取并编辑结 构设计文件(Block Design Files)和 MAX+PLUSⅡ图形设计文件(Graphic Design Files)。可以在QuartusⅡ软件中打 开图形设计文件并将其另存为结构图设计 文件。在这里,用图形编辑器替代了 MAX+PLUSⅡ软件中的图形编辑器。
22
选择图形文件后,next,出现如下图形设计编辑窗; 双击输入元件和引脚,并连接电路,完成设计。 保存文件。
23
24
接下来编译写好的程序:
编译
25
编译窗口
26
开始:
27
修改所有编译中出现的错误; 编译中出现的警告一般可以忽略。 仿真分:功能仿真、时序仿真。
仿真
28
29
30
31
设置激励信号,并保存波形文件:
48
• • • • • • • • • • • • •
ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = '0' THEN y <= a ; ELSE y <= b ; END IF; END PROCESS; END ARCHITECTURE one ;
47
• • • • • • • • • • • •
ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one
器件与管脚选项
39
将未使用的管脚设置:input tri-stated(输入三态)
还可以进行配置芯片的设置; IO管脚电压规格的设置,等等
40
管脚锁定: Assignment→Assignment Editer
项目实体定义的IO端口与芯片管脚的对应关系: 1. 取决于所选的实验模式 2. 实验连接关系 详见:实验箱使用手册
16
输入单元符号界面
17
• (2)单击单元库前面的加号(+),直到 使所有库中的图元以列表的方式显示出来; 选择所需要的图元或符号,该符号显示在 Symbol对话框的右边;单击OK按钮,所选 择符号将显示在下图的图形编辑工作区域, 在合适的位置单击放置符号。重复步骤, 即可连续选取库中的符号。 • 如果要重复选择某一个符号,可以在上图 中选中“重复输入”复选框,选择一个符 号以后,可以在图形编辑区重复放置。放 置完成后右击,选择Cancel命令取消放置符 号。 18
输入设计项目和存盘
元件输入对话框
19
• (3)要输入74系列的符号,方法与步骤(2)相似,选择 其他库,打开maxplus2列表,从其中选择所要的74系列符 号。 • 当选择其他库或兆功能函数库中的符号时,图中的“以块 形式插入”复选框有效。如果选中该复选框,则插入的符 号以图形块的形状显示。 • (4)如果知道图形符号的名称,可以直接在Symbol对话 框的符号名称文本框中输入要调入的符号名称,Symbol对 话框将自动打开输入符号名称所在的库列表。如直接输入 74161,则Symbol对话框将自动定位到74161所在库中的列 表。 • (5)图形编辑器中放置的符号都有一个实例名称(如 inst1,可以简单理解为一个符号的多个复制项的名称), 符号的属性可以由设计者修改。在需要修改属性的符号上 右击,在弹出的下拉菜单中选择Properties命令,则弹出 Symbol Properties对话框,如图所示。在General选项卡中 可以修改符号的实例名;Ports可以对端口状态进行修改; Parameters选项卡中可以对LPM的参数进行设置;Format 选项卡可以修改符号的显示颜色等。
32
选择仿真工具,进行仿真:
33
仿真工具:
34
仿真结果:
仿真结果 正确!
35
原理图设计方法
将设计项目设置成可调用的元件
将所需元件全部调入原理图编辑窗并连接好
36
原理图设计方法
设计全加器顶层文件
连接好的全加器原理图f_adder.bdf
37
管脚分配
重新编译
下载至芯片
38
管脚锁定:
当一个项目的顶层实体设计完成后,就可以进行管脚锁定和约束。 锁定前先进行一些设置:Assignment→Device →Device&Pin…
定制LPM_ROM元件
选择地址锁存信号inclock
53
LPM_ROM宏模块应用
定制LPM_ROM元件
调入ROM初始化数据文件并选择在系统读写功能
54
LPM_ROM宏模块应用
定制LPM_ROM元件
LPM_ROM设计完成
55
【例4-1】
LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; --使用宏功能库中的所有元件 ENTITY data_rom IS PORT (address : IN STD_LOGIC_VECTOR (5 DOWNTO 0); inclock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END data_rom; ARCHITECTURE SYN OF data_rom IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); COMPONENT altsyncram --例化altsyncram元件,调用了LPM模块altsyncram GENERIC ( --参数传递语句 intended_device_family : STRING; --类属参量数据类型定义 width_a : NATURAL; widthad_a : NATURAL; numwords_a : NATURAL; operation_mode : STRING; outdata_reg_a : STRING; address_aclr_a : STRING; outdata_aclr_a : STRING; width_byteena_a : NATURAL; init_file : STRING; lpm_hint : STRING; lpm_type : STRING ); PORT ( clock0 : IN STD_LOGIC ; --altsyncram元件接口声明 address_a: IN STD_LOGIC_VECTOR (5 DOWNTO 0); 56 q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );