数字逻辑课程设计(篮球计分计时器)

合集下载

篮球比赛计时器(课程设计).

篮球比赛计时器(课程设计).

目录摘要 (I)1 总体设计思路、基本原理 (1)1.1 设计思路 (1)1.2 基本原理 (1)2单元电路设计与各单元电路图 (2)2.1 秒脉冲发生器的设计 (2)2.2 秒、分倒计数器的设计 (2)2.2.1 24秒倒计时电路 (2)2.2.2 12分钟倒计时电路设计 (3)2.3 译码器和显示器的设计 (5)3 总设计 (6)4 总结 (8)参考文献 (9)附录 (10)附录1 (10)附录2 (11)简易篮球比赛计时器摘要本设计主要能完成:显示篮球竞赛24秒和12分倒计时功能;此计时器功能齐全,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时蜂鸣器会发出声报警信号等。

本设计是脉冲数字电路的简单应用,应用七段数码管来显示时间。

此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能。

本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。

本电路主要有五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路。

当控制电路的置数开关闭合时,在数码管上显示数字24和12:00,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路会发出蜂鸣信号。

控制电路能直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。

关键词:计数器24秒倒计译码显示电路报警电路1 总体设计思路、基本原理1.1 设计思路篮球比赛计时器的主要功能包括:12分钟倒计时、进攻方24秒倒计时计时暂停,重新开启和结束警报提示。

该计时系统由以下四个电路模块组成:1秒时基产生器:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。

24秒倒计时:这部分电路完成24 秒倒计时的功能,当比赛准备开始时,屏幕上显示24秒字样,当比赛开始后,倒计时从24逐秒倒数到00。

数电篮球比赛计分器课程设计

数电篮球比赛计分器课程设计

数电篮球比赛计分器课程设计课程要求学生在最后一节课讨论中提出有关篮球比赛计分器的细节,并就其中涉及到的主要技术点、数据存储原理和使用技术进行讨论。

二、课程内容本课程分为五个部分:第一部分:篮球比赛计分器简介(一)介绍篮球比赛计分器概念;(二)讲解篮球比赛计分器的主要功能。

第二部分:篮球比赛计分器设计的基本原理(一)学习篮球比赛计分器的基本原理,包括比赛时间、比赛结果的计算;(二)学习如何在实际比赛中应用篮球比赛计分器,如何辨别篮球比赛结果。

第三部分:篮球比赛计分器的使用(一)学习如何将篮球比赛计分器应用到实际比赛中,如:计分的正确方式,比赛结束的标志;(二)学习篮球比赛计分器在实际比赛中的使用,讨论实际比赛中可能出现的问题并讨论应对方法。

第四部分:篮球比赛计分器的技术点(一)学习使用篮球比赛计分器的主要技术点,如:数据存储原理、计算技术等;(二)讨论篮球比赛计分器的设计与实现方法,实际使用中存在的问题以及解决方案。

第五部分:课程讨论学生就与篮球比赛计分器涉及到的主要技术点、数据存储原理和使用技术进行讨论,以了解如何有效地应用篮球比赛计分器完成比赛中的计分。

三、教学目标1. 了解篮球比赛计分器的基本概念、工作原理及其在实际比赛中的使用。

2. 掌握篮球比赛计分器设计的基本原理,深入了解篮球比赛计分器的主要技术点、数据存储原理和使用技术。

3. 可以根据实际比赛情况,使用篮球比赛计分器做出正确的计分,有效地记录和保存篮球比赛数据。

4. 在最后一节课中,培养学生的研究能力,让学生可以从技术角度出发,深入探究篮球比赛计分器的具体使用方法。

四、教学方法本课程采用以下几种教学方法:(一)讲授课:课程中主要采用讲授的方式传授知识,让学生对课程内容有一个清晰的认识。

(二)实验和演示:在课堂上采用实验和演示的方式让学生将实验中学习到的知识应用到实际篮球比赛中,加深学生对课程内容的理解。

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器一、课题名称二、内容摘要本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。

关键字:计时器;数码显示器;Multisim随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。

在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。

本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。

一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。

本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求1.2.1基本要求(1)显示24秒计时功能。

(2)控制计时器直接清零、启动、暂停/连续功能。

(3)计时器为24秒递减计时器。

(4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。

1.2.2 设计任务及目标(1)根据原理图分析各单元电路的功能;(2)熟悉电路中所用到的各集成块的管脚及其功能;(3)进行电路的装接、调试,直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告。

四、方案论证及比较本设计的核心部分是要设计一、个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。

篮球计分器课程设计

篮球计分器课程设计

郑州科技学院《数字电子技术》课程设计题目篮球比赛计分的设计学生姓名专业班级通信工程学号院(系)指导教师完成时间 2014年12月 5日目录1 课程设计的目的 (1)2 课程设计的任务与要求 (1)3 设计方案与论证 (1)4 单元电路的设计 (2)4.1 单元电路 (2)4.2 电路的设计 (4)5 硬件的制作与调试 (6)6 总结 (7)参考文献 (10)附录1:总体电路原理图 (11)附录2:元器件清单 (12)附录3:实物图 (13)1 课程设计的目的(1)熟悉中规模集成可逆计数器,译码器和显示器的功能;(2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣;(3)了解数字系统的实验及调试方法,以及一般故障的排除方法。

(4)了解555定时器的功能作用和分类。

2 课程设计的任务与要求(1)电路具有加1分、加2分、加3分功能。

(2)电路具有减分功能。

(3)显示总分功能,用三位LED显示器,最高可现实999。

(4)显示器可清零。

3 设计方案与论证据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。

用3片四位二进制加法计数器74LS161组成一、二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。

3片七段共阴极数码管构成显示起用于显示分数。

设计方案有多种,这次找出两种方案如下:方案1:数字逻辑电路控制方案数字逻辑电路控制系统主要由各种逻辑元件构成,包括计数器、触发器以及各种门电路,硬件设计思路非常简单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比都很高。

缺点在于功能实现后电路结构复杂,维护起来比较困难。

在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具有价格低,元件少,体积小,稳定性好,可靠性高的特点。

因此,在本设计上采用数字逻辑电路方案。

方案2:单片机系统控制方案单片机是核心控制元件,利用编程语言对其功能的设计。

篮球计时计分器课程设计

篮球计时计分器课程设计

1、绪论现如今,随着科学技术的发达,人类越来越依靠科技的力量。

对于体育比赛计时计分系统是对体育比赛过程中所产生的时间,比分等数据进行快速采集记录,加工处理,传递利用的信息系统,他大大的减少了人的工作量,同时更加迅速精确的对比赛进行统计,也增加了比赛的公正公平性。

根据不同运动项目的不同比赛规则要求。

然而篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。

篮球比赛的计时计分系统由计时器,计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理,现场大屏幕,电视转播等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。

因此,计时计分系统在篮球比猜中发挥着非常重要的作用。

1.2 设计计任务和要求任务:设计一个用于赛场的篮球计时计分器。

要求: 1、能记录整个赛程的比赛时间,并能随时实现暂停。

2、能随时刷新甲、乙两队在整个过程中的比分。

3、中场交换比赛场地时,能自动交换甲、乙两队比分的位置。

4、比赛中场和结束时,能发出报警声。

5、通过指示灯指示上下半场。

6、当比赛时间需要回倒时,能通过按键实现回表。

7、加分有误时可通过按键实现减分调整。

1.3 实验简介和方案设计本设计是基于AT89S52单片机的篮球计时计分器,利用7段共阴LED作为显示器件。

在此设计中共接入了1个四位一体7段共阴LED显示器,2个两位一体7段共阴LED显示器,前者用来记录赛程时间,其中2位用于显示分钟,2位用于显示秒钟,后者用于记录甲乙队的分数,每队2个LED显示器显示范围可达到0~99分。

赛程计时采用倒计时方式,比赛开始时启动计时,直至计时到零为止。

其次,为了配合计时器和计分器校正调整时间和比分,我们特定在本设计中设立了7个按键,用于设置,调整时间,启动,调整分数和暂停等功能。

采用单片机控制是这个系统按键操作使用简洁,LED显示,安装方便。

30秒时间特殊计数器

30秒时间特殊计数器

课程设计(说明书)篮球竞赛30秒计时器设计课程设计任务书课程名称数字逻辑课程设计院(系)计算机学院专业计算机科学与技术班级学号姓名课程设计题目篮球竞赛30秒计时器设计课程设计时间: 2010 年 07 月 15 日至 2010 年 07 月 24 日课程设计的内容及要求:一、设计说明在篮球比赛进行过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。

其原理参考框图如图1所示。

秒脉冲发生器译码显示计数器控制电路报警电路外部操作开关{图1 篮球竞赛30秒计时器原理框图二、技术指标1.具有显示30秒的计时功能。

2.设置外部操作开关,控制计时器的直接清零、启动和暂停 / 连续功能。

3.计时器为30秒递减计时器,其计时间隔为1秒。

4.计时器递减计时到零时,数码显示器不能灭灯,应发出光电报警信号。

三、设计要求1.在选择器件时,应考虑成本,要求采用LED显示。

2.根据技术指标,通过分析计算确定电路和元器件参数。

3.画出电路原理图(元器件标准化,电路图规范化)。

四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。

2.进行实验数据处理和分析。

五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表一、概述在篮球比赛中,规定了一方的持球时间不能超过30秒,否则就被判做犯规。

本课程设计的“篮球竞赛30秒计时器”,就可用于篮球比赛中,用于对球员持球时间30秒进行限制。

一旦球员的持球时间超过了30秒,它自动的报警。

这次课设设计了一个一个篮球竞赛30秒计时器电路。

它由秒脉冲发生器、计数器、译码显示电路、报警电路和时序控制电路五个部分组成。

当计数器接收到秒脉冲后开始倒记数,等递减到0时,发光二极管亮光报警。

篮球计数器课程设计

篮球计数器课程设计

篮球计数器课程设计一、引言篮球计数器是一种用于计算篮球比赛得分和时间的设备。

在篮球比赛中,得分和时间是非常重要的因素。

因此,设计一个可靠的篮球计数器对于比赛的公正和精确性至关重要。

本文将介绍一个篮球计数器课程设计,包括硬件和软件方面的内容。

二、硬件设计硬件设计包括电路图设计、元器件选型和电路板制作等方面。

1. 电路图设计电路图应该清晰明了,易于理解,并且能够满足所需功能。

主要包括以下模块:- 显示模块:显示比赛得分和剩余时间;- 按键模块:用于控制计数器的启动、停止、重置等操作;- 计时模块:用于计算剩余时间;- 得分模块:用于计算得分。

2. 元器件选型元器件应该具有稳定可靠性,并且易于购买和维护。

主要包括以下元器件:- 显示屏:选择LED数字显示屏,具有高亮度、低功耗、长寿命等特点;- 按键开关:选择带灯按键开关,便于在暗光环境下使用;- 微控制器:选择常见的AT89C52单片机,具有成本低、易于编程等优点;- 时钟芯片:选择常见的DS1302时钟芯片,具有高精度、低功耗等特点。

3. 电路板制作电路板应该具有良好的导电性能和耐用性,并且易于安装和维修。

制作过程包括以下步骤:- 原理图设计:根据电路图设计原理图;- PCB设计:根据原理图进行PCB布局和线路走向设计;- 制版:将PCB布局和线路走向转移到铜板上,并进行蚀刻处理;- 焊接元器件:将元器件按照原理图和PCB布局进行焊接;- 测试调试:对电路板进行测试和调试,确保各个模块正常工作。

三、软件设计软件设计包括程序框架设计、功能实现和调试等方面。

1. 程序框架设计程序框架应该清晰明了,易于阅读和维护,并且能够满足所需功能。

主要包括以下模块:- 显示模块:负责显示比赛得分和剩余时间;- 按键模块:负责接收按键操作,并进行相应处理;- 计时模块:负责计算剩余时间;- 得分模块:负责计算得分。

2. 功能实现根据程序框架设计,实现各个功能模块。

具体包括以下功能:- 显示比赛得分和剩余时间;- 启动、停止、重置计数器;- 计算剩余时间;- 计算得分。

篮球比赛24秒倒计时器-数字逻辑电路设计课程设计报告

篮球比赛24秒倒计时器-数字逻辑电路设计课程设计报告

篮球比赛24秒倒计时器-数字逻辑电路设计课程设计报告数字逻辑电路设计课程设计报告系 ,部,: 三系专业: 通信工程班级: 通信班姓名:学号: 成绩: 指导老师: 开课时间: 学年二学期数字逻辑电路设计一、设计题目篮球比赛24秒倒计时器二、主要内容1、分析设计题目的具体要求2、完成课题所要求的各个子功能的实现3、用multisim软件完成题目的整体设计三、具体要求(1) 具有显示 24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。

(2) 分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。

(3) 设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。

(4) 计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。

四、进度安排第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。

第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。

第三天:确定总体设计方案,画出系统的原理框图。

第四天:绘制单元电路并对单元电路进行仿真。

第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。

第六天:完成整体设计并仿真验证。

第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。

第八天:完成实践报告的撰写五、成绩评定课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:2 篮球比赛24秒倒计时器数字逻辑电路设计1、理论设计方案,演示所设计成果,总成绩40,;2、设计报告,占总成绩30,;3、回答教师所提出的问题,占总成绩20,;4、考勤情况,占总成绩10,;无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。

迟到20分钟按旷课处理。

3 篮球比赛24秒倒计时器数字逻辑电路设计目录前言 ..................................................................... ................................ 5 1、总体设计思路、基本原理和框图 (6)1.1设计思路...................................................................... (6)1.2 设计原理和功能...................................................................... (7)1.2.1 基本功能...................................................................... . (7)1.3总体设计框图...................................................................... ............................ 8 2、单元电路设计...................................................................... .. (9)2.1 各芯片的用法和功能...................................................................... . (9)2.1.174LS00 ................................................................. .. (9)2.1.2 555定时器...................................................................... . (9)2.1.374LS90 ................................................................. .. (10)2.1.474LS192 ................................................................ (11)2.2单元模块...................................................................... . (12)2.2.1 秒脉冲发生模块...................................................................... .. (12)2.2.2 倒计时模块...................................................................... . (13)2.2.3 控制电路模块...................................................................... (14)2.2.4 报警提示模块...................................................................... ............ 15 3、电路仿真调试(总电路图) (16)3.1 总电路图...................................................................... . (16)3.2 仿真调试...................................................................... . (17)3.2.1 启动功能...................................................................... .. (17)3.2.2 复位功能...................................................................... .. (18)3.2.3 暂停功能...................................................................... .. (18)3.2.4 自动停止、复位功能......................................................................19 4、故障分析与电路改进 (21)4.1 故障分析和解决...................................................................... (21)4.2 电路改进...................................................................... ................................. 21 5、总结...................................................................... ........................ 23 6、心得体会 ..................................................................... ................. 24 7、元件清单 ..................................................................... ................. 25 8、参考文献 ..................................................................... .. (26)4 篮球比赛24秒倒计时器数字逻辑电路设计前言电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

篮球赛计时计分器课程设计

篮球赛计时计分器课程设计

篮球赛计时计分器课程设计目录第1章系统概述 (1)1.1 功能简述 (1)1.2 按钮设置 (1)第2章总体方案设计 (2)2.1系统框图 (2)2.2 软件总体设计 (2)第3章系统硬件设计 (3)3.1 80C51单片机 (3)3.2 3×4矩阵式键盘 (3)3.3 8段数码管显示器 (3)3. 4 系统原理图........................ (3)第4章软件设计 (4)4.1 主函数设计 (4)4.2 按键码获取,按键处理函数 (5)4.3 显示子函数 (6)4.4 延时子函数 (7)第5章系统的安装调试说明 (8)5.1 软件调试 (8)5.2 软硬联调 (8)总结 (9)参考文献 (10)附录A 系统原理图 (11)附录B 源程序清单 (12)第1章系统概述1.1 功能简述本设计内容为比赛计分器,主要用于各种体育比赛记录分数。

采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2、加3和减1减2、减3操作,其加减1,2,3分可以通过加减1分、2分和3分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。

可以实现预置分。

比分通过4个8段数码管显示器进行显示,每队比分显示2位,1.2 按钮设置计分器应该有7个按键分别标注于原理图,见图1-1。

图1-1按钮功能图其中1/2/3分切换由发光二极管指示,加1减1分别对应。

预置分是事先设定分数可以分别设定甲乙两队的初始分数。

按下清零后,显示的分数清零。

第2章总体方案设计2.1 系统框图系统框图,见图2-1。

图2-1 系统框图本设计用80C51单片机为核心,利用4个8段数码管显示器.采用动态显示输出比分,用户信息输入则采用3×4矩阵式键盘。

89C52单片机有32根I/O线,所以不用扩展I/O口。

用一片单片机即可满足本设计的输入输出。

2.2 软件总体设计软件设计主要分为3个部分:信息输入、信息处理、显示输出。

EDA课程设计——篮球球比赛计分器_2

EDA课程设计——篮球球比赛计分器_2

<<电子设计自动化EDA技术>>课程设计报告题目: 篮球比赛记分牌姓名:院系:专业:学号:指导教师:完成时间: 年月日目录1 课程设计题目`内容与要求………………………1.1 设计内容1.2 具体要求2系统设计…………………………2.1 设计思路2.2 系统原理3 系统实现……………………………………………4 系统仿真……………………………………………5硬件验证(操作)说明………………………………6 总结…………………………………………………7参考书目……………………………………………一、课程设计题目、内容与要求1.1课程设计的题目: 篮球比赛记分牌1、1.2课程设计内容:2、根据比赛实际情况记录两队得分, 罚球进的1分, 进球的2分;3、记分牌要具有纠错功能, 能减1分、2分功能;4、利用3个译码显示管输出比赛的分;二、系统设计2.1设计思路:篮球比赛记分牌是记录两队比赛的得分情况, 并能够进行纠错功能;根据系统设计的要求, 篮球记分牌的电路原理框图如下:2.2 系统原理与设计说明系统各个模块的功能如下:1.D触发器电路模块实现翻转功能当出错时, 输出为1, 使电路回到上一个正确的状态。

2.4为二进制全加器电路模块实现加法计数功能。

3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态, 出错时将调用上一个正确状态。

4.二选一数据选择器电路模块用来控制移位寄存器5. LED数码管驱动电路模块三、系统实现各模块电路的源程序如下:1、D触发器电路模块及程序:set输入(Q=1), 清零应该可以用复位键reset吧(Q=0)。

library ieee;use ieee.std_logic_1164.all;entity sync_rsdff isport(d,clk : in std_logic;set : in std_logic;reset: in std_logic;q,qb : out std_logic);end sync_rsdff;architecture rtl_arc of sync_rsdff isbeginprocess(clk)beginif (clk'event and clk='1') thenif(set='0' and reset='1') thenq<='1';qb<='0';elsif (set='1' and reset='0') thenq<='0';qb<='1';elseq<=d;qb<=not d;end if;end if;end process;end rtl_arc;移位寄存器模块电路及程序:library IEEE;use IEEE.std_logic_1164.all;entity shft_reg isport (DIR : in std_logic;CLK : in std_logic;CLR : in std_logic;SET : in std_logic;CE : in std_logic;LOAD : in std_logic;SI : in std_logic;DATA : in std_logic_vector(3 downto 0);data_out : out std_logic_vector(3 downto 0) );end shft_reg;architecture shft_reg_arch of shft_reg issignal TEMP_data_out : std_logic_vector(3 downto 0);beginprocess(CLK)beginif rising_edge(CLK) thenif CE = '1' thenif CLR = '1' thenTEMP_data_out <= "0000";elsif SET = '1' thenTEMP_data_out <= "1111";elsif LOAD = '1' thenTEMP_data_out <= DATA;elseif DIR = '1' thenTEMP_data_out <= SI & TEMP_data_out(3 downto 1);elseTEMP_data_out <= TEMP_data_out(2 downto 0) & SI;end if;end if;end if;end if;end process;data_out <= TEMP_data_out;end architecture;3.二选一数据选择器电路模块及程序:entity mux isport(do,d1:in bit;sel:in bit;q:out bit);end mux;architecture a of mux isbeginq<=(do and sel)or(not sel and d1);end a;4.加法计数器的电路模块及程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add4 ISPORT(a1,a2,a3,a4:IN STD_LOGIC;b1,b2,b3,b4:IN STD_LOGIC;sum1,sum2,sum3,sum4:OUT STD_LOGIC;cout4:OUT STD_LOGIC);END add4;ARCHITECTURE add_arc OF add4 ISSIGNAL cout1,cout2,cout3:STD_LOGIC;COMPONENT halfaddPORT(a,b:IN STD_LOGIC;sum,hcarry:OUT STD_LOGIC);END COMPONENT;COMPONENT fulladdPORT(in1,in2,cin:STD_LOGIC;fsum,fcarry:OUT STD_LOGIC);END COMPONENT;BEGINu1:halfadd PORT MAP(a=>a1,b=>b1,sum=>sum1,hcarry=>cout1);u2:fulladd PORT MAP(in1=>a2,in2=>b2,cin=>cout1,fsum=>sum2,fcarry=>cout2);u3:fulladd PORT MAP(in1=>a3,in2=>b3,cin=>cout2,fsum=>sum3,fcarry=>cout3);u4:fulladd PORT MAP(in1=>a4,in2=>b4,cin=>cout3,fsum=>sum4,fcarry=>cout4);END add_arc;5.七段译码电路及程序:library ieee;use ieee.std_logic_1164.all;entity deled isport(datain:in std_logic_vector(3 downto 0);qout:out std_logic_vector(6 downto 0));end deled;architecture func of deled isbeginprocess(datain)beginif datain= "0000" then qout<="1111110";elsif datain= "0001" then qout<="0110000";elsif datain= "0010" then qout<="1101101";elsif datain= "0011" then qout<="1111001";elsif datain= "0100" then qout<="0110011";elsif datain= "0101" then qout<="1011011";elsif datain= "0110" then qout<="1011111";elsif datain= "0111" then qout<="1110000";elsif datain= "1000" then qout<="1111111";elsif datain= "1001" then qout<="1111011";else null;end if;end process;end func;四、系统仿真1.D触发器电路模块仿真波形:2.移位寄存器模块电路仿真波形:3.二选一数据选择器电路模块仿真波形:4.加法计数器的电路模块仿真波形:5.七段译码电路仿真波形:五﹑硬件验证说明这次设计采用的硬件电路有芯片EP1K10TC100-3,实验板上标准时钟电路、LED 显示等, 六、总结七、参考书目[1]《PLD与数字系统设计》李辉西安电子科技大学出版社 2005[2]《EDA技术及可编程逻辑器件应用实训》沈明山北京科学出版社 2004[3]《VHDL数字系统设计与高层次综合》林敏方颖立著北京: 电子工业出版社2002[4]《VHDL程序设计》曾繁泰陈美金著北京: 清华大学出版社 2001[5]《EDA技术实验与课程设计》曹昕燕周风臣清华大学出版社 2005[6]《PLD器件与EDA技术》李冬梅北京广播学院出版社2000。

数字电子技术课程设计篮球比赛s计时器样本

数字电子技术课程设计篮球比赛s计时器样本

一、设计目1.培养理论联系实际对的设计思想,训练综合运用已经学过理论和生产实际知识去分析和解决工程实际问题能力2.学习较复杂电子系统设计普通办法,理解和掌握模仿、数字电路等知识解决电子信息方面常用实际问题能力,由学生自行设计、自行制作和自行调试。

3.运营基本技术训练,如基本仪器仪表使用,产业元器件辨认、测量、纯熟运用能力,掌握设计资料、手册、原则和规范以及使用仿真软件、实验设备进行调试和数据解决等。

4.培养学生创新能力。

二、设计规定1.30秒计时器具备显示30秒计时功能。

2.系统设立外部操作开关,控制计时器直接置数、清零、启动、和暂停功能。

3.计时器为30秒递减计时时,其计时间隔为1秒。

4.当计时器递减计时到零时,数码显示屏不能灭灯,LED变亮报警。

三、总体设计本实验核心某些是要设计一种30s计数器,并且对计数成果进行实时显示,同步要实现设计任务中提到各种控制规定,因而该系统涉及秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个某些构成。

其中,计数器和控制电路是系统重要某些。

计数器完毕30s计时功能,而控制电路具备直接控制计数器启动计数、暂停、持续计数、译码显示电路显示和灭灯功能。

为了满足系统设计规定,在设计控制电路时,应对的解决各个信号之间时序关系。

在操作直接清零开关时,规定计数器清零,数码显示屏显示零。

当启动开关闭合时,控制电路应封锁时钟信号CP,同步计数器完毕置数功能,译码显示电路显示30s字样;当启动开关断开时,计数器开始计数;当暂停、持续开关拨在暂停位置上时,计数器停止计数,处在保持状态;当暂停、持续开关拨在持续时,计数器继续递减计数。

系统设计框图如图下图所示。

图1四、单元电路设计1、译码显示电路用发光二极管(LED )构成字型来来显示数字。

这种数码管每个线段都是一种发光二极管,因而也称LED 数码管或LED 七段显示屏。

由于计算机输出是BCD 码,要想在数码管上显示十进制数,就必要先把BCD 码转换成 7 段字型数码管所规定代码。

课程设计_篮球24秒定时器的制作

课程设计_篮球24秒定时器的制作

随着电子技术的飞速发展,电子技术在社会生活中发挥越来越重要的作用,特别是各种竞技运动中,定时器成为检验运动员成绩的重要工具。

篮球是一项大众化的运动,现实生活中的比赛有很多规则,正规比赛中我们知道,在一次进攻中,一方队员只有24秒的进攻时间,超过这个时间则表示一次违例。

本课程设计题目紧密联系生活实际,用简单的数字逻辑电路实现24秒减数计时器,每隔一秒计数一次直到减到零并发生光报警,计数器有置数功能,最初置数为24,并且有清零功能和暂停功能,使设计电路具有很好的实用价值;计时器主要是由即使电路、控制电路、以及译码显示电路3个部分组成。

电路结构简单,功能方便、快捷。

篮球24秒定时器的制作结构设计与方案选择本设计是以555构成震荡电路,由74LS192来充当计数器,构成NBA24秒倒计时电路。

该电路简单,无需用到晶振,芯片都是市场上容易购得的。

设计功能完善,能实现直接清零、启动和暂停/连续计时,还具有报警功能。

一、设计原理与电路原理方框图图:包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。

计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是系统的主要部分。

计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。

当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。

二、电路方案中采用两片192芯片,能实现暂停、清零、置数的功能。

结构比较简单,开关3为清零控制端,开关2为置数端,开关1为暂停/继续开关。

秒脉冲由555定时器构成。

它的工作原理是:只有当低位BO1端发出借位脉冲时,高位计数器才做减数器。

当高低位全部变为零时,且CPD为0时置数端LD2=0,计数器完成置数,在CPD 脉冲信号的作用下,计数器再次进入下一轮减计数。

秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,电路采用555集成电路或由TTL与非门组成的多谐振荡器的构成。

篮球竞赛30s计时器逻辑电路设计数电课程设计计时器

篮球竞赛30s计时器逻辑电路设计数电课程设计计时器

摘要:基于数字电路课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的环节,是真正锻炼学生能力的环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒计时,交通信号灯、红绿灯、行人灯、交通信号控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

在篮球比赛中,规定了队员的持球时间不能超过30S,否则就犯规了。

本课程设计的“篮球竞赛30S计时器”,可用于篮球比赛中,用于对队员持球时间30S的限制。

一旦队员的持球时间超过了30S,它自动的报警从而判定此队员的犯规。

篮球竞赛30S计时器具有计时、暂停、清零、报警的功能,整个电路的设计借助于Proteus仿真软件和数字逻辑电路,并在Proteus下设计和进行仿真,得到了预期的结果。

再通过实际焊接和调试来现实实现这些功能。

关键词:计时器;脉冲发生器;数码显示;清零;报警第一章设计任务篮球竞赛30S计时器1. 具有显示30S计时功能;2.设置外部操作开关,控制计数器的直接清零,启动和暂停/连续功能;3.在直接清零时,要求数码显示器灭灯;4.计时器为30S递减计时,计时间隔为1S;5.计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。

第二章方案设计及比较2.1 设计方案根据目前所学的知识,主要有以下两种设计方法(1).方案一:图2.1 方案一篮球竞赛30S计时器电路(2).方案二;图2.2 方案二篮球竞赛30S计时器电路2.2 方案比较方案一:虽然元器件也挺多,但对比与方案二更多,而且需要的芯片也更少,这样所需成本更少,线路更简单些,焊起来也更快。

方案二:结构复杂,线路很多,用的元器件也比较多,而且要用到两个555芯片,需要的芯片也更多,这样在实际焊的过程中工作量比较大。

所以,综合考虑,选择方案一较好。

第三章单元电路分析与设计3.1 电路设计原理篮球竞赛30S计时器的总体参考方案框图如图 3-1 所示。

数电课程设计-篮球12分24秒计时器

数电课程设计-篮球12分24秒计时器

篮球计时系统的设计与制作一、分电路设计1、12分钟部分设计:(1)12分钟倒计时秒部分。

运用两片可逆计数器74LS192来构成60进制的减法器。

这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,置数、清零端无效,即可以实现十进制的倒计数功能。

而最低位的计数变化应当与时钟脉冲的变法同步。

所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让秒钟部分的个位完成从0—9—8—7—6—5—4—3—2—1—0的计时特点。

该秒钟部分的十位与个位的计数进制不同,是将计数芯片74LS192接成六进制的计时器,让其实现从0—5—4—3—2—1—0的倒计时特点,所以必须要置数当计时到0时,UP常接高电平,每当DOWN端来一个上升沿脉冲时,就置数为5,所以将芯片的A和C端接高电平,B,D端接低电平这样就完成了置数功能。

要将两芯片组成一个60进制的减法计数器就要将12分钟的秒十位的DOWN端连接到秒个位的借位输出端BO,,其功能是当秒个位减到0时,借位输出端输出一个低电平,秒十位的DOWN端就来了一个低电平,这样等到下次高电平来的时候,十位就计数一次,这样就连成了一个60进制计数器。

具体电路图如下:(2)12分钟倒计时分部分。

运用两片可逆计数器74LS192来构成分别构成一个二进制和一个十进制的减法器。

这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,不同于12分钟秒个位,其置数端要置为2使计数从2开始减法计数,所以将芯片的B接高电平,A,C,D端接低电平这样就完成了置数功能。

清零端无效,即可以实现十进制的倒计数功能。

而最低位的计数变化应当与时钟脉冲的变法同步。

所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让分钟部分的个位完成从2—1—0—9—8—7—6—5—4—3—2的计时特点。

篮球比赛数字计分器

篮球比赛数字计分器

篮球比赛数字计分器文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]学年论文(课程论文、课程设计)题目:篮球比赛数字计分器作者:所在学院:信息科学与工程学院专业年级:电信09-1 指导教师:职称:讲师2011年6月25日摘要:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。

根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。

应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。

Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。

电路要具有加分、减分及显示的功能。

综合应用数字电路初步设计,Multisim仿真和DXP的初步应用关键词:篮球计分器设计 Multisim仿真 DXP引言电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

数电课程设计-篮球计分器

数电课程设计-篮球计分器

数电课程设计-篮球计分器-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIANXXXXXX大学数字系统课程设计报告题目:数字篮球计分器电路设计学年:2016学期:第一学期专业:网络工程班级: XXXX学号:XXXXXXXXX 姓名: XXXX指导教师及职称: XXXX讲师时间:2016年10月15日-2016年10月19日XXXXXX学院设计课题题目:数字篮球计分器电路设计一、同组成员:XXX XXX XX XXX 组长: XXXX二、设计任务与要求三、1.分别记录两队得分情况;四、2.进球得分加2分或3分,罚球进球得分加1分;五、3.纠正错判得分减3分、2分或1分;六、4.分别用三个数码管显示器记录两队的得分情况;功能描述:1、加分功能,当按下相应的按键开关S1、S2、S3时,分别可以进行加1、2、3分;2、减分功能,当将加减分置换开关S4拨到减分档时,按下开关S1、S2、S3,可以进行减分操作;3、清零功能,当按下S0时,可以将积分清为零。

二、电路原理分析与方案设计据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。

用三片四位二进制加法计数器74LS160组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。

译码器显示器用于显示分数。

方案设计:1.总体设计思路(含电路原理框图):电路的核心模块是加减分和累加积分电路的设计,我们采用的总体方案是,用时钟信号电路产生的脉冲信号给加减分电路提供时钟信号,之后将加减分电路发出的信号接到累加器上进行总分的累加,然后在数码显示电路上显示出来。

电路的原理框图如下图所示:鉴于电路可以分为多个模块,因此我们将设计任务分配到每一位组员如下:1. XXX: 脉冲电路设计与总体电路设计2. XXX :总体电路设计与脉冲电路设计3. XXX :译码器设计与部分电路仿真4. XXX :计数器设计与总体电路仿真5. XXX :控制电路设计与资料查阅6. XXX :控制电路设计与实验报告整理 2.主要元件介绍(1) 二进制加法计数器74LS16074LS160 引脚图① 管脚图介绍:时钟信号加/减一分加/减二分加/减三分计数清零累加记分数码显示加减置换开②74LS160 为可预置的十进制同步计数器其管脚图如图所示RCO 进位输出端ENP 计数控制端ENT 计数控制端A-D 输入端QA-QD 输出端CLK 时钟输入端CLR 异步清零端,低电平有效LOAD 同步并行置入端,低电平有效③工作方式选择表:(2) 十进制可逆计数器74LS192引脚图管脚及功能表74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图所示:74LS192的引脚排列及逻辑符号74LS192的引脚说明:PL 为置数端 CPu 为加计数端 CPD 为减计数端为非同步进位输出端 为非同步借位输出端在 P0-P3为计数器输入端为清除端 Q0-Q3 为数据输出端。

篮球计分计时器课程设计

篮球计分计时器课程设计

单片机课程设计基于篮球计分牌学院:计算机与信息工程学院专业:计算机科学与技术组长:文鹏宇组员:黄致煜彭运佳韩露露指导老师:张永安目录第1章课题设计的目的和意义第2章课题设计的功能概述第3章硬件介绍第4章硬件设计方案第5章两队篮球比赛计分牌电路设计第6章程序流程图第7章应用程序第8章结论第9章心得体会第10章参考文献第一章课题设计的目的和意义篮球比赛计分牌器系统是一个负责各类体育竞赛技术支持系统前沿(比赛场地)的数据采集和分配的专用系统它负责各类体育竞赛结果、成绩信息的采集处理、传输分配,即将比赛结果数据通过专用技术接口、界面、协议,分别传送给裁判员、教练员、电子计时计分计算机信息系统、电视转播与评论系统、现场大屏幕显示系统等。

由于体育竞赛的不可重复性,决定了电子计时计分系统是一个实用性很强可靠性要求极高的以计算机技术为核心的电子服务系统。

因此,电子计时计分系统自身组成独立的采集、分配、评判、显示发布系统,做到所以信息的实时、准确、快捷、权威。

电子计时计分设备是各类体育竞赛中不可缺少的电子设备,电子计时计分系统设计是否合理,关系到整个体育比赛系统运行的稳定和可靠,并直接影响到整个体育比赛的顺利进行。

电子计时计分系统是体育竞赛的重要工程项目,是关系到竞赛成败的关键工程,每一个单项体育竞赛都具有对应的专门电子计时计分工作系统。

这些工作系统各不相同,但都是各单项成绩处理系统的前级数据采集系统,除了提供计算机成绩处理系统竞赛数据以外,还需要在部分项目中连接电视转播等其他工作系统,电子计时计分需要极高的工作稳定性和可靠性.由于LED显示牌是目前公共场所中信息发布的主要载体,因此该系统也可拓展使用到会展中心、广场银行、市场等公共场所及车站机场等交通运输行业。

尤其是一些发布数据并需要经常手动更新的领域。

因此,该项目有着重要意义。

第2章课题设计的功能概述两位数码管显示比分,可加可减。

还显示比赛时间和剩余时间。

第3章硬件介绍硬件;第4章硬件设计方案根据原理图,在板板上连接各种电器.植入程序.第5章两队篮球比赛计分牌电路设计第6章程序流程图第7章应用程序//篮球比赛计时计分带24秒倒计时改进版的设计//(1)能记录整个赛程的比赛时间,并能修改比赛时间、暂停比赛时间。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计
课程名称数字逻辑
题目名称篮球比赛计分器学生学院自动化学院
专业班级网络工程 4班
学号
学生姓名
指导老师
2012 年06月16日
课程设计任务书
题目名称篮球比赛计分器
学生学院自动化学院
专业班级网络工程4班
姓名
学号
一、课程设计的内容
设计一个篮球比赛计分器。

二、课程设计的要求与数据
设计要求包括:
1. 按照篮球比赛规则,需要两个计分器实现双方比分的显示及比赛时间的显示(倒计时),
时间精确到秒,设每节比赛的初始设置时间为12分00秒,双方初始比分显示为00;
2. 双方分别用2个按键表示加分操作,按一次按键加1分;
3. 计分器应有启动、教练暂停、犯规罚分和复位开关。

复位开关有效时,双方比分自动
清零显示数字“00”;启动开关有效时,比赛开始计时,允许记分。

教练暂停按钮有效时,停止计时,不允许双方改变比分,释放暂停开关后,继续计时比赛。

犯规罚分开关有效时,停止计时,允许双方改变比分,释放该开关后,继续计时比赛。

4. 设计一个24秒违例定时器,当24秒到进攻一方仍旧没有投球时,用一个红色指示灯
亮表示时间到,指示灯持续点亮5秒。

5. 设置两个指示灯表示比赛的节次。

用001,010、011、100分别表示第1、2、3、4节。

启动开关有效时,表示每节比赛开始,要求显示该节比赛的节次;第1次启动开关有效时,显示第1节比赛节次001。

当每节比赛时间到,用一个绿色指示灯亮表示,指示灯持续点亮5秒,不得改变比分。

注:鉴于DE2板数码管数量有限,这里假设双方比分不超过99分。

比赛的节次用指示灯表示。

三、功能描述
根据设计要求,可分为下面几部分构造出该电路基本的结构框图。

1、计分器部分的结构框图如下所示,用一个按键表示方波脉冲发生器,按键按下一次发出
一个触发脉冲,计数器1的输出加1,当计数器1输出值为9的时候,这时按下键计数
器1就给计数器2一个触发脉冲,计数器2的输出值加1。

复位开关有效时计数器同时清零。

用两个这样的结构框图就可以分别表示双方的分数。

2、倒计时器部分的结构框图的设计入下图所示,分为12分钟倒计时和24秒倒计时。

由方
波信号发生器产生稳定的高频脉冲信号,经分频电路输出标准的秒脉冲信号,作为秒倒计时脉冲。

计数器6初始值为1,计数器5初始值为2,计数器4和计数器3初始值都为0。

计数器3倒数到0的时候向计数器4产生借位脉冲,计数器4倒数到0时向计数器5产生借位脉冲,计数器5倒数到0时向计数器6产生借位脉冲,直到四个计数器都为0时停止倒数。

计数器8的初始值为2,计数器7的初始值为4,计数器7到数到0时向计数器8产生借位脉冲,当两个计数器都为0时从24秒重新倒数。

3、用三盏灯分别为D1、D2和D3来表示比赛的节次,当D1亮,D2和D3灭时表示第一节;
当D2亮,D1和D3灭时表示第二节;当D1和D2亮,D3灭时表示第三节;当D1和D2灭,D3亮时表示第四节。

用按键K来实现换节次的功能,如下表所示:
四、电路主要部分架构的设计
1、双方分数的计分器分别用两个74192计数器和两个7447七段显示器来实现,两个模10
的计数器连在一次构成模100计数器。

每按一次按键,产生一个脉冲,计数器的输出值加1,两个计数器分别用七段显示译码器连接起来,其显示规律为01→02→03→…→10→11→…→99。

当按下复位键时比分同时清零。

根据分析可构造该逻辑电路图如下所示:
双方比分计分器
2、12分钟倒计时器用了4个74192计数器和4个7447显示译码器来实现,每节比赛开始
时置为12:00。

然后开始按秒倒数直到时间为00:00停止倒数。

24秒倒数器则用两个计数器来实现。

如下图所示:
12分钟倒数计时器
24秒倒数计数器
3、节次显示器用一个74192计数器和三盏LED灯D1、D2、D3来实现。

到启动键第一次有效时比赛时间置为12:00,同时D1亮,D2和D3灭表示第一节比赛开始;当第二次启动键有效时比赛时间重置为12:00,同时D2亮,D1和D3灭表示第二节比赛开始;当第三次启动键有效时比赛时间重置为12:00,同时D1和D2亮,D3灭表示第三节比赛开始;当第四次启动键有效时比赛时间重置为12:00,同时D1和D2灭,D3亮表示第四节比赛开始。

五、总电路图
按照前面设计出来的电路主要部分的架构再根据设计要求把其正确的连接起来,再往中添加设计要求里面的其它部分(如教练暂停键、罚球暂停键、24秒提示灯、每节比赛结束提示灯以及允不允许改变比分等)要求,就可以得到如下总电路图,改电路图的功能包括了设计要求里面的全部内容。

六、参考文献
《数字逻辑》第四版
发出任务书日期:2012年06月11日指导教师签名:
计划完成日期:2012年06月17日基层教学单位责任人签章:主管院长签章:。

相关文档
最新文档