倒计时计时器电路的设计

合集下载

倒计时电路课程设计

倒计时电路课程设计

倒计时电路 课程设计一、课程目标知识目标:1. 让学生掌握倒计时电路的基本概念和原理,理解其工作流程。

2. 学会使用基本的电子元件,如电阻、电容、二极管、三极管等,并了解它们在倒计时电路中的作用。

3. 掌握倒计时电路的电路图绘制及电路连接方法。

技能目标:1. 培养学生动手操作能力,能够独立完成倒计时电路的搭建和调试。

2. 提高学生的问题分析能力,能够诊断并解决倒计时电路中可能出现的问题。

3. 培养学生的团队协作能力,学会在小组内分工合作,共同完成倒计时电路的制作。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发他们探索科学奥秘的热情。

2. 培养学生勇于尝试、不断探索的精神,增强克服困难的信心。

3. 培养学生遵守实验室规则,养成良好的实验操作习惯,树立安全意识。

分析课程性质、学生特点和教学要求:1. 课程性质:本课程属于电子技术领域,具有较强的实践性和应用性。

2. 学生特点:学生为初中生,对电子技术有一定的好奇心,动手能力较强,但理论知识相对薄弱。

3. 教学要求:注重理论知识与实践操作相结合,以学生为主体,教师为主导,引导学生主动探索,培养实际操作能力。

二、教学内容1. 理论知识:- 了解倒计时电路的定义、原理和应用场景。

- 学习基本的电子元件,如电阻、电容、二极管、三极管等的工作原理和特性。

- 掌握倒计时电路的电路图绘制方法。

2. 实践操作:- 学习倒计时电路的搭建方法,包括元件的选型、电路连接和调试。

- 掌握倒计时电路的编程方法,实现具体的倒计时功能。

3. 教学大纲:- 第一章:倒计时电路概述,介绍倒计时电路的定义、原理和应用。

- 第二章:基本电子元件,讲解电阻、电容、二极管、三极管等元件的作用和特性。

- 第三章:电路图绘制,学习如何绘制倒计时电路的电路图。

- 第四章:倒计时电路的搭建与调试,进行实践操作,学会搭建和调试倒计时电路。

- 第五章:编程与功能实现,学习编程方法,实现倒计时电路的具体功能。

数字电路课程设计_24秒倒计时

数字电路课程设计_24秒倒计时

赣南师院物理与电子信息学院数字电路课程设计报告书姓名:班级:学号:时间:图1 总原理框图1.1 555定时器555定时器是一种多用途的数字-模拟混合集成电路,用它可以构成施密特触发器、单稳态触发器和多谐振荡器。

本设计用LM555CM定时器设计一个多谐振荡器给电路提供脉冲信号,产生的脉冲信号用由74LS192进行计数,且设置周期为1秒。

1.1.1555定时器管脚名称和功能图2 555的管脚名称1脚为接地端,也是芯片的公共端。

2脚为C2比较器的信号输入端V2l又称为触发端。

它们输入的信号可以图3 555定时器的内部结构1.1.3 555定时器的功能表输入各级输出T 1状态D RV 1l V 2L V 1C V 2C触发器输出Q 1+n输出V OT 1状态0 ⨯ ⨯ ⨯ ⨯0 低电平 导通 1 (32)V CC (31V CC ) 0 1 0 低电平 导通 1 (32)V CC (31V CC ) 0 0 1 高电平 截止 1 (32)V CC (31V CC ) 1 0 0 高电平 截止 1(32)V CC (31V CC ) 11Q不变不变表1 555定时器的功能表1.274LS192十进制同步加减计数器图5 74LS192的内部结构图1.2.374LS192的功能表表2 74LS192的功能表1.374LS48七段译码器本设计中用共阴极七段显示数码管,为使七段显示数码管能正常工作,将74LS190连接到74LS48,74LS48将高低电平信号译成数码管可读信号,从而实现数字的显示。

1.3.174LS48的引脚排列图6 74LS48的引脚排列1.3.274LS48的真值表表3 74LS48的逻辑功能真值表1.4共阴极数码管数码管有共阴和共阳之分,本设计使用共阴数码管,因为译码器使用为74LS48,相应的数码管要用相应的译码器才能实现译码和显示数字。

1.4.1共阴数码管的引脚排列和简易符号图7 八段共阴数码管图8 数码管简易图1.4.2 共阴数码管的内部结构图9 共阴数码管内部结构2电路分析和仿真结果由上对各个元件进行的简介以及相关的原理图,下面对电路进行分部分析。

篮球竞赛30秒倒计时电路设计

篮球竞赛30秒倒计时电路设计

篮球竞赛30秒倒计时电路设计摘要随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。

要实现30秒倒计时的功能,必须要有一个脉冲,本设计是以555构成震荡电路,再通过74LS161十分频来产生周期为1秒的脉冲。

计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。

译码部分采用74LS248芯片,74LS248是把8421BCD码经过内部作用和电路“翻译”成七段(a,b,c,d,e,f,g)输出,然后直接推动LED,显示十进制数。

显示部分采用共阴极七段数码管,整个线路就是把以上几个主要的部分用导线连接焊接起来。

该电路简单,无需用到晶振,芯片都是市场上容易购得的。

设计功能完善,能实现直接清零、启动和暂停/连续计时,还具有报警功能。

关键词:倒计时;译码显示;光电报警目录1 引言 (1)2 设计任务及要求 (2)2.1篮球竞赛30秒计时器(基本要求) (2)2.2设计任务及目标 (2)3 电路设计原理与设计电路 (2)3.1 设计原理 (2)3.2设计方案 (3)3.3单元模块 (4)3.3.1时钟模块 (4)3.3.2 8421BCD码递减计数器模块 (8)3.3.3 控制电路 (11)3.3.4 译码显示模块 (11)3.3.5 报警电路模块 (13)4 电路仿真、安装与调试 (14)4.1 电路仿真 (14)4.2 电路制版与焊接 (15)4.3 电路安装 (15)4.4 电路调试 (15)5 结论 (15)参考文献 (16)附录A 原件清单 (16)附录B 实物图 (17)附录C PCB图 (18)篮球竞赛30秒倒计时电路设计1 引言随着科学技术与计算机应用的不断发展,在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

倒计时报警电路设计报告

倒计时报警电路设计报告

沈阳航空航天大学课程设计(说明书)倒计时报警电路的设计班级学号学生姓名指导教师沈阳航空航天大学课程设计任务书课程名称数字逻辑课程设计课程设计题目倒计时报警电路的设计课程设计的内容及要求:一、设计说明与技术指标要求设计一个倒计时电路,倒计时时间可从0~99s任意设定,并在倒计时时间到零时发出报警信号。

技术指标如下:①设置外部操作开关实现启动、直接复位、暂停、继续等功能;②用数码管显示初始时间及剩余时间;③在直接复位时,要求数码管灭灯;④倒计时时间到达零时,数码管不能灭灯,并同时发出5s的声光报警指示信号;⑤设计秒脉冲产生电路。

二、设计要求1.在选择器件时,应考虑成本。

2.根据技术指标,通过分析计算确定电路和元器件参数。

3.画出电路原理图(元器件标准化,电路图规范化)。

三、实验要求1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。

2.进行实验数据处理和分析。

四、推荐参考资料1.阎石主编.数字电子技术基础.[M]北京:高等教育出版社,2006年2.赵淑范,王宪伟主编.电子技术实验与课程设计.[M]北京:清华大学出版社,2006年3.杨志忠主编. 电子技术课程设计. [M]北京:机械工业出版社,2008年五、按照要求撰写课程设计报告成绩评定表:指导教师签字:2016 年 7 月 3 日目录一、概述 (1)1.1了解数字电路系统的定义及组成 (1)1.2掌握时钟电路的作用及基本构成 (1)二、方案论证 (1)2.1电路组成 (1)2.2设计思路 (2)2.3设计方案 (2)三、电路设计 (3)3.1多谐振荡器产生秒脉冲信号电路 (3)3.3译码和数码显示电路 (4)3.4报警电路 (5)3.5控制电路 (6)四、性能的测试 (6)4.1多谐振荡器产生脉冲信号电路 (6)4.3电路整体性能测试 (7)⑴设置倒计时间功能 (7)⑵直接复位灭灯功能 (7)⑶倒计时功能 (7)⑷暂停、继续功能 (7)⑸报警功能 (8)五、结论 (8)参考文献 (8)附录I 总电路图 (9)附录II 元器件清单 (9)一、概述1.1了解数字电路系统的定义及组成数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。

倒数计时器设计课程设计

倒数计时器设计课程设计

倒数计时器设计课程设计一、课程目标知识目标:1. 让学生掌握倒数计时器的基本原理和功能。

2. 使学生了解数字电路基础知识,如逻辑门、触发器等。

3. 帮助学生理解计时器设计的步骤和注意事项。

技能目标:1. 培养学生运用所学知识设计倒数计时器的能力。

2. 提高学生动手操作和调试数字电路的技能。

3. 培养学生运用问题解决策略和团队协作的能力。

情感态度价值观目标:1. 培养学生对电子制作的兴趣和热情。

2. 增强学生面对挑战时的自信心和毅力。

3. 培养学生的创新意识和探索精神。

分析课程性质、学生特点和教学要求:1. 课程性质:本课程为实践性较强的电子制作课程,结合理论知识,培养学生的动手能力和创新能力。

2. 学生特点:学生具备一定的数字电路基础知识,对实际操作和电子制作有较高的兴趣。

3. 教学要求:注重理论与实践相结合,鼓励学生动手实践,提高学生的综合应用能力。

二、教学内容1. 数字电路基础知识回顾:逻辑门、触发器、计数器原理。

2. 倒数计时器原理讲解:触发器级联、时钟信号、清零和预置功能。

3. 倒数计时器设计步骤:需求分析、电路设计、器件选型、电路仿真、实物制作。

4. 教学大纲安排:- 第一阶段:回顾数字电路基础知识,分析倒数计时器原理(1课时)。

- 第二阶段:讲解设计步骤,指导学生进行电路设计和仿真(2课时)。

- 第三阶段:学生分组进行器件选型和实物制作,教师巡回指导(3课时)。

5. 教材关联内容:- 章节:数字电路基础、触发器与计数器、电子制作实践。

- 内容列举:基本逻辑门、触发器种类、计数器工作原理;倒数计时器设计实例、电路仿真软件应用、常见电子元器件识别与使用。

三、教学方法1. 讲授法:教师通过生动的语言和形象的表达,讲解数字电路基础知识、倒数计时器原理及设计步骤。

结合多媒体演示,使抽象的知识具体化,便于学生理解和掌握。

2. 讨论法:针对电路设计和仿真过程中遇到的问题,组织学生进行小组讨论,鼓励学生发表自己的观点,培养学生的思辨能力和团队协作精神。

数码管倒计时电路的设计

数码管倒计时电路的设计

数码管倒计时电路的设计LED数码管倒计时电路采用24H计时方式,时分秒用6位数码管显示,分、秒之间为闪烁位,每0.5秒闪烁一次。

该电路采用AT89C51单片机,可按需求设计计时数。

数码管时钟电路如图1所示,其采用AT89C51单片机,LED先是采用动态扫描方式实现,P1口输出段码数据,P3.3~P3.5口接三八译码器作扫描输出,P0.0~P0.6口接按钮开关,为了提高秒计时的精确性,采用12MHz晶振。

1.主程序本设计中的计时采用定时器T0中断完成,其余状态循环调用显示子程序,当P0.6端口开关按下时,转入调时功能程序。

2.显示子程序数码管显示的数据存放在内存单元40H~45H中,其中40H~41H存放秒数据,42H~43H 存放分数据,44H~45H存放时数据,每一地址单元内均为十进制BCD码。

由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。

显示时,先取出40H~45H某一地址中的数据,然后查得对应的显示用段码从P1口输出。

P3.3~P3.5口将对应的数码管选中,就能显示该地址单元的数据值。

3.定时器T0中断服务程序定时器T0用于时间计时。

定时溢出中断周期设为50ms,中断累计20次(即1秒)时对秒计数单元进行加1操作。

时间计数单元地址分别在40H~41H(s),46H~77H(min),78H~79H(h),20H单元内存放“熄灭符”数据。

在计数单元中采用十进制BCD码计数,满60进位。

4.设置计时数程序设置计时数程序的设计方法是,P0.0~P0.5分别对应秒个位秒十位,分个位分十位,时个位时十位,P0.6设置位,当P0.6为0时,进入设置状态,各位清0,设置结束,按P0.6开始计时。

5.汇编程序清单ORG 0000HLJMP STARTORG 000BHLJMP ZM0;*****************************; 主程序;*****************************START: MOV TMOD,#11H ;设置定时器为0工作方试1MOV TL0,#0B0HMOV TH0,#3CH ;设置定时器0为50msSETB EA ;开总中断SETB ET0 ;开定时器0中断MOV DPTR,#TAB ;表格地址MOV 20H,#0FFH ;设置闪烁位MOV 40H,#00H ;秒个位MOV 41H,#00H ;秒十位MOV 42H,#00H ;分个位MOV 43H,#00H ;分十位MOV 44H,#00H ;时个位MOV 45H,#00H ;时十位MOV 50H,#0C0H ;秒个位显示码MOV 51H,#0C0H ;秒十位显示码MOV 52H,#0C0H ;分个位显示码MOV 53H,#0C0H ;分十位显示码MOV 54H,#0C0H ;时个位显示码MOV 55H,#0C0H ;时十位显示码MOV R2,#20 ;计数用,20*50ms为一秒SETB TR0 ;定时器0开始计时MAIN: ACALL DISPLAY ;显示JB P0.6,MAIN ;调整键按下ACALL DISP ;除抖JB P0.6,MAINACALL SETTIME ;进入调时SJMP MAIN;****************************; 计时中断0;****************************ZM0: PUSH ACC ;保护现场PUSH PSWCLR ET0 ;关闭总中断CLR TR0 ;关计时器0中断MOV A,#0B7H ;中断响应时间同步修正ADD A,TL0MOV TL0,AMOV TH0,#3DHSETB TR0 ;开计时器0DEC R2 ;闪烁位每0.5秒闪烁一次MOV A,R2MOV b,#10DIV ABMOV A,BCJNE A,#0,NEXT ;到0.5秒了吗CPL 06h ;闪烁位取反NEXT: CJNE R2,#0,OUT ;到一秒钟对40H至45H各位进行调整MOV R2,#20MOV R0,#40H ;秒数减一ACALL DEC1MOV R3,41HCJNE R3,#255,OUTACALL CLSMOV R0,#42HACALL DEC1 ;秒数数到0时,分数减一MOV R3,43HCJNE R3,#255,OUTACALL CLSMOV R0,#44H ;分数减到0时,小时数减一ACALL DEC1MOV R3,45HCJNE R3,#255,OUTMOV 40H,#00H ;秒个位归0MOV 41H,#00H ;秒十位归0MOV 42H,#00H ;分个位归0MOV 43H,#00H ;分十位归0MOV 44H,#00H ;时个位归0MOV 45H,#00H ;时十位归0MOV 20H,#0BFHACALL OUT1 ;BCD码化为显示码CLR TR0CLR TR0 ;关闭计时器0SJMP MAIN ;都减为0时,程序结果,等待下一次设置时间OUT: MOV R5,#6;将40H至45H的BCD码译为相应的显示码存放在50H至55H中MOV R0,#45HMOV R1,#55HBIAN: MOV A,@R0MOVC A,@A+DPTRMOV @R1,ADEC R0DEC R1DJNZ R5,BIANPOP ACCPOP PSWSETB ET0RETI;**************************; 减一程序;**************************DEC1: CJNE @R0,#0,OUTT ;对相应的十位与个位进行减一处理MOV @R0,#9INC R0DEC @R0DEC R0RETOUTT: DEC @R0RET;**************************; 归位程序;**************************CLS: MOV @R0,#9INC R0MOV @R0,#5RET;***************************; BCD码化为显示码程序;***************************OUT1: MOV R5,#6 ;将40H至45H的BCD码译为相应的显示码存放在50H至55H中MOV R0,#45HMOV R1,#55HBIAN1: MOV A,@R0MOVC A,@A+DPTRMOV @R1,ADEC R0DEC R1DJNZ R5,BIAN1RET;**********************************; 设置计时数程序;**********************************SETTIME:CLR TR0 ;关闭计时器ACALL DISPLAYMOV 40H,#00H ;秒个位归0MOV 41H,#00H ;秒十位归0MOV 42H,#00H ;分个位归0MOV 43H,#00H ;分十位归0MOV 44H,#00H ;时个位归0MOV 45H,#00H ;时十位归0MOV 20H,#0BFHACALL OUT1 ;BCD码化为显示码ACALL DISP ;除抖JB P0.6,REI1SELF: JNB P0.6,SELF ;等待键释放PUSH ACC ;保护现场PUSH PSWMOV TL0,#0B0H ;重置计数器MOV TH0,#3CHWAIT: ACALL DISPLAY ;等待设置健按下MOV A,P0CPL AJZ WAITACALL DISP ;除抖MOV A,P0CPL AJZ WAITMOV B,A ;保存数据SELF1: MOV A,P0 ;等待健释放CJNE A,#0FFH,SELF1MOV A,B ;计算是哪个键按下,对相应的内存加一MOV R1,#3FHCLR CAGAIN: RRC AINC R1JNC AGAININC @R1MOV B,R1ACALL SETTIME0 ;对时间数据作相应的调整ACALL OUT1 ;BCD码化为显示码MOV R1,BCJNE R1,#46H,W AIT ;p0.6再次为0时,开始计时REI1: POP ACCPOP PSWSETB TR0RET ;返回;*********************************; 调整程序;**********************************SETTIME0:MOV A,40H ;秒个位满10归0CJNE A,#10,SET1MOV 40H,#0SET1: MOV A,41H ;秒十位满6归0CJNE A,#6,SET2MOV 41H,#0SET2: MOV A,42H ;分个位满10归0CJNE A,#10,SET3MOV 42H,#0SET3: MOV A,43H ;分十位满6归0CJNE A,#6,SET4MOV 43H,#0SET4: MOV A,44H ;时个位满4归0CJNE A,#4,SET5MOV 44H,#0SET5: MOV A,45H ;时十位满3归0 CJNE A,#3,SET6MOV 45H,#0SET6: RET;**********************************; 显示程序;**********************************DISPLAY:MOV P1,50H ;显示秒个位MOV P3,#0ACALL DISPMOV P1,#0FFHMOV P1,51H ;显示秒十位MOV P3,#8ACALL DISPMOV P1,#0FFHMOV P1,20H ;显示闪烁位MOV P3,#16ACALL DISPMOV P1,#0FFHMOV P1,52H ;显示分个位MOV P3,#24ACALL DISPMOV P1,#0FFHMOV P1,53H ;显示分十位MOV P3,#32ACALL DISPMOV P1,#0FFHMOV P1,20H ;显示闪烁位MOV P3,#40ACALL DISPMOV P1,#0FFHMOV P1,54H ;显示时个位MOV P3,#48ACALL DISPMOV P1,#0FFHMOV P1,55H ;显示时十位MOV P3,#56ACALL DISPMOV P1,#0FFHRET;*******************************; 0.5ms延时程序;*******************************DISP: MOV 30H,#5DD: MOV 31H,#50DJNZ 31H,$DJNZ 30H,DDRET;*************************************; 表格;*************************************TAB: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H ;0至9的共阳显示码END。

倒计时定时器电路设计

倒计时定时器电路设计

目录摘要 (1)第1章概述 (2)第2章电路设计方案 (3)2.1 总体电路设计方案 (3)2.2 单元功能模块设计 (4)2.2.1 秒信号发生器 (4)2.2.260分频器电路设计 (5)2.2.3 减法计数器 (6)2.2.4 译码显示电路 (9)2.2.5 执行电路 (11)第3章整机电路原理 (13)第4章仿真 (14)总结 (16)致谢 (17)参考文献 (18)附录1电路原理图 (19)附录2仿真图 (20)摘要本设计主要由减法计数器、译码显示器、秒信号发生器、分频器、执行路等构成,能实现倒计时、定时和控制执行电路工作的功能。

倒计时计数末了时,继电器动作,控制用电器动作。

其中时钟信号是由多谐振荡器产生的1Hz秒脉冲信号,而且秒脉冲信号可以通过分频器产生出1/60Hz的分脉冲信号,然后通过开关选择秒脉冲或分脉冲信号通过开关选择输入电路,对倒计时计数器进行触发,计数器由置数开关输入的预置数开始进行计数,其中数字由译码数码管显示,直到倒计时末了,产生信号使继电器工作,从而通过控制开关控制受控电器的开或关。

所以,此设计相当于构造了一个电器的控制开关,能够灵活定时电器的工作时间,从而使电器的开关更加方便。

关键词计数器;译码器;显示器;分频器第1章概述倒计时计数器的用途很广泛。

它可以用作定时,控制被定时电器的工作状态,实现定时开或者定时关,最长定时时间为99分钟。

他还可以用做倒记时记数,最长记时时间为99秒,而且有二位数码管显示记数状态,可以灵活的对受控电器进行定时开关。

倒计时计时器的核心器件是可预制数减计数器IC3、IC4,其初始数由拨码开关S1、S2设定,其输出状态由BCD码七段译码器IC1、IC2译码后驱动LED数码管显示。

门电路D1、D2产生秒信号脉冲,以及经IC5等60分频后得到的分信号脉冲。

由开关S4选择后作为时钟脉冲送入减计数器的CP端。

当按下启动按钮S3后,S1、S2设定的预置数进入减计数器,数码管显示出该预置数,然后计数器就在时钟脉冲CP的作用下减计数,数码管做同步显示。

倒计时电路设计课程设计

倒计时电路设计课程设计

倒计时电路设计课程设计一、课程目标知识目标:1. 理解倒计时电路的基本原理,掌握电路的设计与搭建方法;2. 掌握计时器集成电路的使用,了解其工作原理及功能;3. 了解数字电路基础知识,如逻辑门、触发器等,并能运用到倒计时电路设计中。

技能目标:1. 能够运用所学知识,设计并搭建简单的倒计时电路;2. 学会使用相关仪器、工具进行电路调试,排除故障;3. 提高动手实践能力,培养团队协作能力。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生严谨的科学态度,注重实验操作的规范性和安全性;3. 增强学生的环保意识,养成良好的电路废弃物处理习惯。

课程性质:本课程为实践性较强的电子技术课程,注重理论知识与实际操作相结合。

学生特点:学生具备一定的电子技术基础,对新鲜事物充满好奇,喜欢动手实践。

教学要求:结合学生特点,注重启发式教学,引导学生主动探究,提高实践能力。

将课程目标分解为具体的学习成果,以便在教学过程中进行有效指导和评估。

二、教学内容1. 理论知识:- 电路基础知识:回顾数字电路基础,如逻辑门、触发器等;- 计时器集成电路:介绍计时器集成电路的原理、功能及其在倒计时电路中的应用;- 倒计时电路原理:讲解倒计时电路的基本原理及设计方法。

2. 实践操作:- 电路设计:指导学生设计简单的倒计时电路,包括电路图绘制、元件选型等;- 电路搭建:学生动手搭建倒计时电路,熟悉仪器、工具的使用;- 电路调试:学生进行电路调试,排除故障,确保电路正常运行。

3. 教学大纲:- 第一周:回顾电路基础知识,介绍计时器集成电路;- 第二周:讲解倒计时电路原理,进行电路设计;- 第三周:学生动手搭建倒计时电路,进行调试与优化。

教学内容安排与进度:课程共分为三周,每周分别对应上述教学内容。

教材章节关联:《电子技术基础》第四章 数字电路基础、《电子技术实践》第二章 计时器集成电路应用。

教学内容注重科学性和系统性,结合理论与实践,使学生能够扎实掌握倒计时电路的设计与搭建方法。

倒计时控制电路的设计

倒计时控制电路的设计

倒计时控制电路的设计
倒计时控制电路是一种常见的计时器电路,常用于倒计时的场合,如比赛计时、考试倒计时等。

下面简单介绍一下倒计时控制电路的设计方法。

1. 确定计时器的精度和计时时间
首先需要确定计时器的精度和计时时间。

精度决定了计时器的误差范围,通常可以选择晶振的频率来控制精度。

计时时间决定了计时器的工作周期,通常可以选择计时器的计数范围来控制计时时间。

2. 选择计时器芯片
根据计时器的精度和计时时间,可以选择适合的计时器芯片。

常见的计时器芯片有NE555、CD4060、CD4541等,可以根据需要选择不同的芯片。

3. 连接计时器芯片
将计时器芯片按照其引脚说明书连接好,通常需要连接晶振、电源、输出端口等。

其中,输出端口可以选择与其他电路相连,以控制倒计时的开始和结束。

4. 编写控制程序
根据需要,可以编写控制程序来实现倒计时功能。

控制程序通常需要根据计时器的输出信号来控制其他电路的工作状态,以达到倒计时的目的。

5. 调试和测试
完成以上步骤后,需要进行调试和测试,以确保倒计时控制电路的正常工作。

可以通过模拟电路、示波器等工具进行调试和测试,发现问题及时进行修改和优化。

以上是倒计时控制电路的设计方法,需要注意的是,在设计过程中需要根据实际需要进行调整和优化,以满足不同的倒计时场合。

数字电路技术基础-倒计时器实验

数字电路技术基础-倒计时器实验

倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。

通过key1控制切换计数与暂停状态。

当key1按下时,开始倒计时,再次按下,暂停计时。

通过key2控制置初值。

暂停状态下,当key2按下时,回到初始状态,数码管显示2500。

设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。

提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。

使用课前预习的状态机模块,控制25分钟倒计时模块。

调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。

1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。

5秒倒计时电路设计

5秒倒计时电路设计

5秒倒计时电路设计
以下是一个5秒倒计时电路的设计方案:
1. 使用555定时器芯片作为计时核心。

将555定时器的R1和R2电阻设为100kΩ,C为0.1μF。

2. 使用CD4017计数器芯片作为计数器。

将计数器的CLOCK输入连接到555定时器的OUT 输出。

3. 将CD4017计数器的Q0-Q4输出依次连接到LED灯或蜂鸣器。

4. 设计一个简单的开关电路,将开关与555定时器的RESET引脚连接,使之在开始计时前将RESET引脚拉高。

实现方法如下:
1. 连接555定时器的1号脚和5号脚,形成一个内部电容充电电路。

2. 将100kΩ电阻连接到2号脚和6号脚之间。

将另一个100kΩ电阻与2号脚相连,并将它与C2电容相连。

将另一个端连接到6号脚。

3. 将10kΩ可变电阻连接到2号脚和电源正极之间。

4. 将0.1μF电容连接到5号脚和电源负极之间。

5. 将CD4017计数器的CLOCK脚连接到555定时器的OUT输出。

6. 将CD4017计数器的Q0-Q4输出依次连接到LED灯或蜂鸣器。

7. 将一个开关连接到555定时器的RESET引脚,使之在开始计时前将RESET引脚拉高。

以上是一个基础的5秒倒计时电路设计方案,具体实现时需要根据电路需求进行相应的调整。

100秒倒计时电路设计

100秒倒计时电路设计

100秒倒计时电路设计
想设计一个100秒计时的电路,到底要怎么做,那么你想知道100秒倒计时电路设计是怎么样的吗?下面就由店铺为你带来100秒倒计时电路设计,希望你喜欢。

100秒倒计时电路设计图
100秒倒计时电路设计步骤
1.打孔:4角及变压器固定孔直径3.2mm;按钮开关固定孔直径2mm,安装孔直径1.2mm;1N4001安装孔直径1.2mm;其它孔直径1mm。

2.集成电路注意缺口位置;
3.电解电容器及二极管注意正负极;
4.表贴电阻焊在PCB板的底层;
5.安装7805注意有字面向下;
6.电阻器和普通电容器没有极性;
7.变压器初级焊上带插头的电源线,并用绝缘胶布包好接头,次极焊上插头后插在2PIN插座上。

45秒篮球倒计时数电课程设计

45秒篮球倒计时数电课程设计

摘要本电路主要由五个模块构成:秒脉冲发生器、计数器、译码显示电路、把握电路和报警电路,主要承受 555 作为振荡电路, 由 74LS192、74LS48 和七段共阴LED 数码管构成计时显示电路, 具有直接把握计数器启动计数、暂停/连续计数、清零、译码显示电路的显示等功能。

当把握电路的置数开关闭合时,在数码管上显示数字 45,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警信号。

整个电路的设计借助于Multisim 11.0 仿真软件和数字规律电路相关理论学问,并在Multisim 11.0 下设计和进展仿真,得到了预期的结果。

设计内容及要求:本设计主要能完成:显示 45 秒倒计时功能;系统设置外部操作开关,把握计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为 45 秒递减计时其计时间隔为 1 秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

方案论证及比较:方案一:用555 时基电路构成的多谐振荡器来产生频率为 1Hz 的脉冲,即输出周期为 1 秒的方波,接着将该信号送到计数器 74LS192 的 CP 减计数脉冲端, 再通过译码器 74LS48 把输入的 8421BCD 码经过内部作和电路“翻译”成七段输出,这样加在 LED 七段数码管上显示十进制数,然后在适当的位置设置开关或把握电路即可实现计数器的直接清零,启动和暂停/连续、报警等功能。

方案二:由 14 位二进制串行计数器/分频器和振荡器 CD4060、BCD 同步加法计数器 CD4518 构成的秒信号发生器。

电路中利用CD4060 组成两局部电路。

一局部是14 级分频器,其最高分频数为16384;另一局部是由外接电子表用石英晶体、电阻及电容构成振荡频率为32768Hz 的振荡器。

震荡器输出经14 级分频后在输出端Q14 上得到1/2 秒脉冲并送入由1/2 CD4518 构成的二分频器,分频后在输出断Q1 上得到秒基准脉冲。

比赛24秒倒计时电路的设计与制作

比赛24秒倒计时电路的设计与制作

比赛24秒倒计时电路的设计与制作比赛24秒倒计时电路的设计与制作中国工程物理研究院工学院赵应泽龙江一、电路组成电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。

其整机电路如图2所示,印制板电路如图3所示。

经过计算得到.f≈IHz,即1秒。

2.计数器计数器由两片74Lsl92同步十进制可逆计数器构成。

利用减计数利用预置数,实现计数器按8421码递减进行减计数。

利用借位输出端与下一级的cP。

连接,实现计数器之间的级联。

利用预置数端实现异步置数。

当R。

=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。

3.译码及显示电路本电路由译码驱动74Ls48和7段共阴数码管组成。

74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。

4.控制电路完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。

控制电路由Ic5组成。

Ic5B受计数器的控制。

Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。

(1)K1:启动按钮。

K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。

当K1闭合时,计数器开始计数。

(2)K2:手动复位按钮。

当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。

当松开K2时,计数器从24开始计数。

(3)K3:暂停按钮。

当"暂停/连续"开关处于"暂停"时,计数器暂停计数,显示器保持不变,当此开关处于"连续"开关,计数器继续累计计数。

5.报警电路当Ic5D输出为低电平时,发光二极管D发光,同时蜂鸣器发出报警。

24秒倒计时电路的设计_电子线路CAD

24秒倒计时电路的设计_电子线路CAD

《电子线路CAD》课程论文题目:24秒倒计时电路的设计1 电路功能和性能指标采用计数器74LS192作为核心部分。

同时选择74LS48作为BCD码译码器来对7段数码显示管进行译码驱动,两个七段数码显示管进行显示。

采用555计时器制成的多谐振荡器,进行秒脉冲的输入。

因为我们需要对其进行暂停、清零、报警等控制,所以我们使用了两个开关来控制计数器的各功能的实现,从而实现各种功能.2 原理图设计2.1原理图元器件制作该元器件制作简单,从工具栏放置了三种基本结构并对格式稍作修改就完成了.①新建一个项目,并保存为“24秒倒计时电路.PRJPCB”,然后新建一个原理图文件,保存为“24秒倒计时.SCHDOC”,绘图坏境已设置好.②原理图绘制如下图:⑴编译参数设置,如下图:⑵项目编译打开“Messages”工作面板,报告提示全部为“Warning”,没有“Error”故可以忽略,如下图.④项目元器件库的生成在原理图文件界面,在上面“Design”选项中点击“Make Schematic Library”然后在弹出窗口确定,就生成当前原理图元器件库.2.3 原理图报表①网络表的生成在原理图界面,执行“Design→Netlist For Project→Protel”菜单命令,系统自动生成Protel网络表,网络表主要包含两个重要信息,一是元器件信息,由一对方括号括起来:二是元器件的电气连接,即属于同一个网络的引脚有哪些,由一对圆括号括起来,网络表文件可以显示为一个文本文件,部分图如下:⑴元器件信息:例如,第一对方括号内的内容表示元器件IC1的相关信息,即名称为IC1,封装形式为DIP14,描述为74LS00.⑵电气连接:第一对圆括号内的内容表示网络名称为VCC,和该网络相连接得引脚有23个,IC1的14脚,IC2的16脚,IC3的16脚……不一一列举了.②简易元器件清单报表在“Reports”下拉菜单中选择点击“Simple BOM”,系统会生成简易材料清单报表,保持默认设置时,生成2个报表文件,分别为“24秒倒计时电路.BOM”和“24秒倒计时电路. CSV”.3 PCB设计3.1元器件封装制作在“Tools”下拉菜单点击“New Component”开始元器件封装,步骤如下图:3.2 PCB设计①新建一个PCB文件,并保存为“24秒倒计时电路.PCBDOC”,物理边界,绘图环境已设置好,PCB图如下:②生成该项目封装库在PCB工作界面,在上面“Design”下拉菜单中选择点击“Make PCB Library”,然后在弹出窗口确定,就生成当前元器件封装库,截图如下:③目录结构3.3 PCB设计后处理①补滴泪操作执行“Tools→Teardrops”菜单命令,打开泪滴设置对话框,这里采用默认设置,补滴泪后PCB截图如下:②放置敷铜为PCB的顶层和底层放置电源地网络敷铜,已顶层为例,将PCB工作界面工作层切换到“Top Layer”,执行“Place→Polygon Pour”菜单命令.打开敷铜属性设置对话框,这里采用默认设置,敷铜后PCB截图如下:③生成PCB信息报表执行“Peports→Board Information”菜单命令,打开PCB信息对话框,该对话框共有三个标签页,如下图④生成网络状态报表执行“Peports→Netlist Status”菜单命令,系统自动生成网络状态报表,如下图:4心得体会①在课程论文中遇到不少问题,这个24秒倒计时电路不是教材上的电路图,是在网上找到的电路,在原理图绘图方面,用了很长时间.毕竟比较复杂.自己画一个小元器件,在原理图中找的一个比较简单的画的,绘原理图时,有些元器件比如74LS48,74LS192,74LS00,NE555,都是自己画出来的,因为search界面显示不全,没有下面的确定.不过自己花也有好处,多熟练.然后另外一些操作看着教材来的.后面PCB制作请教了室友,自己有些不怎么会,特别是在加载PCB数据遇到有些“Not Found”,所以又回过去加载库文件,最终还是完成了.②本学期,我们开设了电路CAD这门课,对于我们电子类的专业来说,这就是我们的专业课,是一门很重要的课程,不管是学习还是以后的工作中,都是非常有用的,只要从事电子类相关的工作,可以说就离不开电路CAD。

倒计时定时器电路设计

倒计时定时器电路设计

倒计时定时器电路设计倒计时定时器电路是一种常见的电子设计,用于实现指定的时间倒数计时功能。

该电路可以广泛应用于各种需要定时操作的场景,如倒数计时器、定时器启动控制、设备关机等。

本文将介绍倒计时定时器电路的设计原理及实现方法。

倒计时定时器电路主要由以下几个部分组成:时钟发生器、计数器、译码器和显示器。

时钟发生器产生稳定的时钟信号,计数器用于记录经过的时钟周期数,译码器将计数器的输出转换为对应的数字信号,显示器将数字信号转换为可视的倒计时显示。

时钟发生器是倒计时定时器电路的核心组件之一、它负责产生稳定的时钟信号,并通过一个特定的频率来控制倒计时的时间精度。

时钟发生器一般采用定时集成电路(如555定时器)来实现,通过改变RC电路的电阻和电容值可以调整时钟信号的频率。

计数器是用于记录经过的时钟周期数的电子元件。

在倒计时定时器电路中,计数器以二进制方式计数,并根据时钟信号的输入递增或递减计数值。

计数器的位数决定了倒计时定时器电路的最大计时范围。

译码器是将计数器的输出转换为对应的数字信号的组件。

以BCD编码为例,译码器将4位二进制数字转换为对应的BCD码输出。

通过将译码器的输出连接至显示器,可以将数字信号转换为可视的倒计时显示。

显示器是倒计时定时器电路的输出设备,用于展示倒计时的时间。

常见的显示器包括数码管显示器(7段数码管、16段数码管等)和液晶显示屏。

通过控制译码器的输入信号,可以实现显示不同的数字。

1.确定倒计时的时间范围和精度要求,以及显示器的类型。

2.根据时间范围和精度要求选择时钟发生器的频率,计算出时钟信号的周期。

3.根据时钟信号的周期确定计数器的位数,确保计数器能够满足倒计时的范围。

4.根据计数器的位数选择合适的译码器,确保译码器能够正确解码计数器的输出。

5.连接时钟发生器、计数器、译码器和显示器,按照设计要求进行布线和电气连结。

6.编写控制程序,实现倒计时的逻辑控制。

7.进行仿真测试和调试,确保倒计时定时器电路的正常工作。

倒计时定时器电路设计

倒计时定时器电路设计

倒计时定时器电路设计1.时钟源:提供一个稳定的时钟信号作为基准。

可以使用晶体振荡器或其他稳定的时钟源。

2.频率分频器:可将时钟信号分频为更低的频率,使定时器可以设置更长的时间。

比如,使用一个12位频率分频器,可以将1Hz的时钟信号分频为1/4096Hz,即每4096秒产生一个脉冲。

3.总计数器:用于控制定时器的定时时间。

总计数器可以采用二进制计数器,其位数由分频器的位数决定。

比如,如果使用12位频率分频器,总计数器可以是一个12位的二进制计数器。

4.设定逻辑:用户可以通过设置开关或编程的方式设置定时时间。

设定逻辑会将用户设置的时间转换为二进制码,并将其加载到总计数器中。

5.使能逻辑:用于启动或停止定时器。

当用户设置完成后,使能逻辑会将时钟信号传递给总计数器,从而开始倒计时。

当倒计时时间到达时,使能逻辑会发出一个触发信号。

6.触发逻辑:用于处理触发信号。

触发逻辑可以根据需要控制其他电路或设备的启停。

比如,可以连接蜂鸣器使其发出声音,或控制电源开关以打开或关闭其他设备。

以上是倒计时定时器电路的基本组成部分。

在实际设计中,还需要考虑到一些其他因素,比如电源电压稳定性、电路的抗干扰能力等。

例如,如果需要设计一个倒计时1分钟的定时器电路,可以采用一个1Hz的时钟信号作为时钟源,使用一个6位的频率分频器将时钟信号分频为1/64Hz,然后使用一个6位的二进制计数器作为总计数器。

用户可以通过设置开关将60秒转换为二进制码,并加载到总计数器中,然后使能逻辑启动倒计时。

当倒计时时间到达时,触发逻辑会发出一个触发信号,控制其他电路或设备的启停。

总之,倒计时定时器电路是一种用于控制定时时间的电子电路,它可以广泛应用于各个领域。

通过合理的设计和调整,可以满足不同场景下的倒计时需求。

倒计时电路课程设计

倒计时电路课程设计

倒计时电路课程设计一、课程目标知识目标:1. 学生能理解倒计时电路的基本原理,掌握其组成部分及功能。

2. 学生能掌握倒计时电路的设计方法,并运用相关知识解决问题。

3. 学生了解倒计时电路在实际应用中的重要性,如生活中的定时器、电子时钟等。

技能目标:1. 学生能运用所学知识,独立完成倒计时电路的设计与搭建。

2. 学生具备分析倒计时电路常见故障并提出解决策略的能力。

3. 学生能够运用信息技术手段,对倒计时电路进行仿真与优化。

情感态度价值观目标:1. 学生对倒计时电路产生兴趣,激发学习电子技术的热情。

2. 学生通过合作学习,培养团队协作能力和沟通表达能力。

3. 学生认识到倒计时电路在科技发展中的重要作用,增强社会责任感和创新精神。

课程性质:本课程为电子技术基础课程,以实践操作为主,注重培养学生的动手能力和创新能力。

学生特点:初三学生具有一定的物理基础和电子技术知识,对实际操作有较高的兴趣,但需加强理论知识与实际应用的结合。

教学要求:结合学生特点,注重理论与实践相结合,突出学生的主体地位,提高学生的实际操作能力和创新能力。

通过课程目标的分解,使学生在学习过程中达到预期的学习成果,为后续教学设计和评估提供依据。

二、教学内容本课程教学内容主要包括以下三个方面:1. 倒计时电路基本原理- 介绍倒计时电路的定义、工作原理及其组成部分。

- 分析倒计时电路中的计数器、触发器、时钟信号等关键元件的作用。

2. 倒计时电路设计与搭建- 引导学生学习倒计时电路的设计方法,包括电路图绘制、元件选型等。

- 指导学生动手搭建倒计时电路,掌握实际操作技巧。

- 结合教材章节,以具体案例为例,讲解倒计时电路的设计与搭建过程。

3. 倒计时电路应用与拓展- 介绍倒计时电路在实际应用中的案例,如定时器、电子时钟等。

- 引导学生探索倒计时电路的拓展功能,如定时开关、倒计时提醒等。

- 分析倒计时电路在生活中的应用,激发学生的学习兴趣。

教学大纲安排如下:1. 第1课时:倒计时电路基本原理学习。

数电设计课程设计---倒计时电路

数电设计课程设计---倒计时电路

倒计时电路一:设计任务和要求要求:从按下开始键开始计时,8秒后蜂鸣器鸣响报警,两秒后停止。

主要单元:(1)开始键按下提供负脉冲开始计时。

(2)秒发生器由555构成。

(3)计数,驱动,显示电路由74LS190,,7LS48,BCD-7段数码管构成。

(4)鸣响时间控制由74LS123实现。

(5)鸣响由蜂鸣器等构成。

(6)可附加适当的门电路与电阻,电容。

二:设计思路及原理框图(1):原路框图(2)首先采用555构成一个多谢振荡器,并使其输出振荡周期为1s,为后续74LS190计数电路提供1s一次的上升沿,并将74LS190接成减计数器。

即功能端c/b接高电平。

74LS190的四位输出再有74LS32以及74LS00构成的控制电路在输出为0、0、0、0时,控制74LS190的端使其跳变为“1”。

从而使74LS190再减计数减为零时,保持零。

停止工作。

其接单负脉冲,并用置数1、0、0、0。

74LS190的四位输出亦接由74LS48以及BCD-7段数码管构成的数码显示电路。

所以当给提供负脉冲后,数码显示8,并1s下降1到0并保持0。

由74LS32和74LS00构成的控制电路会在数码管从1跳变到0时发出从0跳变到1的信号,亦即一个上升沿时钟,所以由此时钟触发74LS123使74LS123发出2s的高电平脉冲,并由此2s的高电平脉冲控制蜂鸣器报警。

三:单元电路与计算1:由555构成的秒发生器(1s)2:由74LS190构成的开关倒计时电路接单负脉冲控制74LS190异步置数端,当给负脉冲时,则将(1000)即十进制的8置入74LS190中,且接“1“使74LS190为减计数器,因为控制电路会在8~1时给”0”.在减计数器在“0”时,会给“1”此时74LS190会保持为“0”。

3:由74LS48以及BCD-7段数码管构成的显示电路真值表:4:由74LS32以及74LS00构成的控制电路真值表5:由74LS123以及蜂鸣器构成的报警电路四:总电路图及元器件清单1:总电路图2原器件清单五:安装与调试1:问题与解决(1)本次试验先用了单排面包板,最后发现太小,于是更换为双排型面包板。

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与制作————————————————————————————————作者:————————————————————————————————日期:一、电路组成电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。

其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。

输出脉冲的频率为:经过计算得到.f≈IHz,即1秒。

2.计数器计数器由两片74Lsl92同步十进制可逆计数器构成。

利用减计数利用预置数,实现计数器按8421码递减进行减计数。

利用借位输出端与下一级的cP。

连接,实现计数器之间的级联。

利用预置数端实现异步置数。

当R。

=0,且时,不管cPU和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。

3.译码及显示电路本电路由译码驱动74Ls48和7段共阴数码管组成。

74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。

4.控制电路完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。

控制电路由Ic5组成。

Ic5B受计数器的控制。

Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。

(1)K1:启动按钮。

K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。

当K1闭合时,计数器开始计数。

(2)K2:手动复位按钮。

当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。

当松开K2时,计数器从24开始计数。

(3)K3:暂停按钮。

当"暂停/连续"开关处于"暂停"时,计数器暂停计数,显示器保持不变,当此开关处于"连续"开关,计数器继续累计计数。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《电子线路CAD》课程论文题目:倒计时计时器电路设计
1 电路功能和性能指标
采用计数器74LS192作为核心部分。

同时选择74LS48作为BCD码译码器来对7段数码显示管进行译码驱动,两个七段数码显示管进行显示。

采用555计时器制成的多谐振荡器,进行秒脉冲的输入。

因为我们需要对其进行暂停、清零、报警等控制,所以我们使用了两个开关来控制计数器的各功能的实现,从而实现各种功能.
2 原理图设计
2.1原理图元器件制作
该元器件制作简单,从工具栏放置了三种基本结构并对格式稍作修改就完成了.
①新建一个项目,并保存为“倒计时计时器电路.PRJPCB”,然后新建一个原理图文件,保存为“倒计时计时器.SCHDOC”,绘图坏境已设置好.
②原理图绘制如下图:
⑴编译参数设置,如下图:
⑵项目编译
④项目元器件库的生成
①网络表的生成
⑴元器件信息 ⑵电气连接
②简易元器件清单报表
生成2个报表文件,分别为“24秒倒计时电路.BOM ”和“24秒倒计时电路. CSV ”.
3 PCB 设计
3.1元器件封装制作
3.2 PCB设计
①新建一个PCB文件
②生成该项目封装库
生成当前元器件封装库,截图如下:
③目录结构
3.3 PCB设计后处理
①补滴泪操作
采用默认设置补滴泪后PCB截图如下:
②放置敷铜
采用默认设置,敷铜后PCB截图如下:
③生成PCB信息报表
④生成网络状态报表
4心得体会
cad是现在景观和建筑界用的很多的一种绘图工具。

功能很强大,可以绘画出很多很复杂的图形,这对设计思想很新颖和大胆的设计师来说有很大的发挥空间,所以学习CAD是很重要的。

网上有很多CAD的学习教程和练习,看过之后发现那些上传的视频都太快,都是以画图为例然后画出某某图,可是没有考虑到新学者对那些命令的认识度基本上是零。

CAD高手在视频上面噼里啪啦的画图,变化边说用什么命令,我第一次看这样的视频的时候是稀里糊涂的下来的,完后什么效果也没有,所以经过一段时间的学习后,对学习CAD有一些小心得,发表出来希望对新手有些帮助,文采不好希望大家见谅。

首先,新学者必须要自己先熟悉下CAD的非技巧性的知识。

就是绘图之前要做哪些调整,哪些设定等等。

熟练之后再来做下面的准备。

第二,网上搜寻所有的命令。

记住每一个命令的符号形式,就是什么命令长什么样。

(不要找视频,一般的都看不懂,哪些上传视频的高手一般都抱着卖弄的思想上传视频的。

)然后认真的记住每一个命令是干什么用的。

第三,动手。

打开CAD后,认真的重复每一个命令。

用每一个命令划线、画图形等等元素。

这个过程中可以插入快捷键的使用。

也可以在熟悉所有的命令后重新涉及到快捷键的使用。

第四,找一些简单的图纸描图。

建筑图纸、景观图纸、磨具图纸等等,尽量简单些。

导入CAD后自己慢慢的描图,开始的时候不要求快,争取不出错,在描图的过程中自己注意些有哪些命令可以有相同的作用,或者有哪些更简单的方法。

第五,上面的所有的熟悉后可以联系快捷键了。

可以下载一个快捷键的桌面,忘了的时候可以切到外面看下。

也可以自己写下来放在旁边不时地看看。

慢慢练习,时间长了就记住了。

第六,这是一个提高的要求。

找一些很复杂很坑爹的图纸来,不要描图,直接根
据上面的数据自己画,一点都不要出错。

开始会很慢,每一次都力求在不出错的
前提下缩短时间。

第七,网上看看视频。

现在的水平看那些视频应该没有什么问题了。

但是一山更比一山高,所以上网看的时候有些时候还是会有很大的收获的。

第八,多发表自己的心得到网上,帮助大家学习。

总之,这是一个熟悉的过程,包括PS、3D、SU等工具都是一样的。

不停的练习就会达到手指在键盘上面飞的程度!
5参考文献
[1] 陈学平. Protel 2004 快速上手[M]. 北京: 人民邮电出版社, 2005.
[2] 王东, 来羽, 王会良. Protel DXP 2004应用100例[M]. 北京: 电子工业出版
社, 2011.
[3] 谈世哲. Protel DXP 2004 电路设计基础与典型范例[M]. 北京: 电子工业出
版社, 2007.
[4] 薛楠. Protel DXP 2004 原理图与PCB设计实用教程[M]. 北京: 机械工业
出版社, 2012.
[5] 陈兆梅. Protel DXP 2004 SP2 印刷电路板设计实用教程[M]. 2版. 北京: 机
械工业出版社, 2012.。

相关文档
最新文档