一位半加器设计与前仿

合集下载

计算机组成原理-半加器实验报告

计算机组成原理-半加器实验报告

课程设计报告课程设计名称:计算机组成原理课程设计课程设计题目:半加器和全加器设计开发院(系):专业:班级:学号:姓名:指导教师:完成日期:目录1.1实验目的 (3)1.2 实验内容 (3)1.3 实验仪器及元件 (3)2.1 实验原理及电路图 (3)2.2 实验过程及结果记录 (4)2.3 实验结果分析 (6)3.1 思考 (6)3.2 收获感想 (6)B A B A⊕=1.1 实验目的1、掌握MAX +PLUS Ⅱ开发软件的安装和使用方法,能够初步运用此软件进行程序的编写、编译、逻辑综合和优化,以及进行功能和时序仿真2、掌握利用此软件进行程序的下载和适配以及与EDA 实验开发箱相结合进行硬件验证的方法3、掌握半加与全加的原理1.2 实验内容1、熟知MAX+plusII 设计流程2、设计一个半加器和一个全加器1.3 实验仪器及元件半加器:2个input A 、B ;一个AND2;一个XOR ;两个output 。

全加器:3个input ain 、bin 、cin ;两个设计好的半加器元件;一个OR2;两个output 。

2.1 实验原理及电路图半加器原理:只求本位和,不考虑低位的进位。

实现半加操作的电路叫做半加器。

状态表A 、B 为两个加数,C 为向高位的进位,C=AB , S 为半加和。

全加器原理:加数、加数以及低位的进位三者相加称为“全加”,实现全加操作的电路叫做全加器。

Ci-1:来自低位的进位 Ci :来自高位的进位2.2 实验过程及结果记录半加器基本实验步骤:为本项工程设计建立文件夹(文件夹名不能用中文和空格),文件夹取名adder ; 打开MAX+PLUS II ,输入设计项目和存盘,新建设计文件,选择打开原理图编辑器;点击鼠标右键,出现窗口选择“Enter Symbol ”输入一个元件,在接下来的窗口中输入元件名,点击OK 就可以出现实验所需的元件;将得出的元件在原理编辑窗口连接好,成为一个半加器;下面给出我实验中做出的半加器原理图:将半加器原理图存盘(存在第一步新建的文件夹中)、将设计项目设置成工程文件并对其进行Ai B iC i-C iS i编译。

实验一 1位全加器电路设计

实验一  1位全加器电路设计

实验一1位全加器电路的设计一、实验目的1、学会利用Quartus Ⅱ软件的原理图输入方法设计简单的逻辑电路;2、熟悉利用Quartus Ⅱ软件对设计电路进行仿真的方法;3、理解层次化的设计方法。

二、实验内容1、用原理图输入方法设计完成一个半加器电路。

并进行编译与仿真。

2、设计一个由半加器构成1位全加器的原理图电路,并进行编译与仿真。

3、设计一个由1位全加器构成4位加法器的原理图电路,并进行编译与仿真。

三、实验步骤1. 使用Quartus建立工程项目从【开始】>>【程序】>>【ALtera】>>【QuartusII6.0】打开Quartus软件,界面如图1-1示。

图1-1 Quartus软件界面在图1-1中从【File】>>【New Project Wizard...】新建工程项目,出现新建项目向导New Project Wizard 对话框如图1-2所示。

该对话框说明新建工程应该完成的工作。

在图1-2中点击NEXT进入新建项目目录、项目名称和顶层实体对话框,如图1-3 所示,顶层实体名与项目名可以不同,也可以不同。

输入项目目录如E:\0512301\ first、工程项目名称和顶层实体名同为fadder。

图1-2 新建工程向导说明对话框图1-3 新建工程目录、项目名、顶层实体名对话框接着点击NEXT进入新建添加文件对话框如图1-4所示。

这里是新建工程,暂无输入文件,直接点击NEXT进入器件选择对话框如图1-5所示。

这里选择Cyclone 系列的EP1C6Q240C8。

图1-4 新建添加文件对话框图1-5器件选择对话框点击NEXT进入添加第三方EDA开发工具对话框如图1-6所示。

图1-6 添加第三方EDA开发工具对话框本实验只利用Quartus集成环境开发,不使用其它EDA开发工具,直接点击NEXT进入工程信息报告对话框如图1-7所示。

点击Finish完成新建工程项目的建立如图1-8示。

VLSI数字电路0.18um工艺全加器设计解析

VLSI数字电路0.18um工艺全加器设计解析

《VLSI电路设计》---项目设计传输门全加器设计指导老师:组长:目录.项目设计方案 (2)1.1一位全加器简介: (2)1.2一位全加器的真值表: (2)1.3镜像CMOS全加器电路图: (3)1.4镜像CMOS全加器的棍棒图: (4)1.5镜像CMOS全加器的优化棍棒图: (4)1.6镜像CMOS全加器的欧拉路径: (5)1.7镜像CMOS全加器的网表: (5)•项目设计完成情况 (7)1. HSPICE 前仿真: (7)2•版图: (8)3.DRC/LVS/PEX 完成情况: (8)4.HSPICE后仿真情况: (9)5.全加器面积及性能: (9)6•项目展望: (10)附录一 (10)附录二 (10)一.项目设计方案1.1 一位全加器简介:全加器是算术运算电路运算中的基本单兀,也是构成多位加法器的基本单元,介于加法器在算术运算电路当中的重要作用,使得全加器的设计显得十分重要。

通常情况下,我们采用两种结构构成全加器电路,一种是由两个半加器组成,另一种为镜像结构。

全加器的基本符号如图1-1所示。

其中,A、B为加法器的输入,Cin进位输入,Sum为输出,Cout进位输出。

Cin --- ►FULL ADDER CoutSum1-1全加器基本符号全加器的逻辑表达式为:Cout=AB+BC h +AC nSum=ABC in +Cout(A+B+C in )1.2 一位全加器的真值表:1-2全加器真值表1.3镜像CMOS全加器电路图:1-3镜像全加器电路图当D为高时,!Cout被置为Vdd,清除进位;当G为高时,!Cout被置为0,产生进位。

当P为1时,输入进位反向传递到!Cout,同时,可以分析求和电路,当A,B,Cin同时为0, S为1,和为0;当A,B,Cin同时为1, S为0,和为1;当A,B,Cin 既有1也有0时,!S的输出由!Cout取反决定。

由于PMO轿口NMO管完全对称,所以易于画出版图。

实验一 1位全加器的设计(修改后)

实验一 1位全加器的设计(修改后)

• 步骤三:编辑全加器的原理图: 步骤三:编辑全加器的原理图:
• 由file->new,打开原理图文件Block Diaoram/Schematic File,并存盘为full_adder.bdf
左键双击原理图编辑窗空白处,弹出如下窗口
• 调入 1)半加器:half_adder, 2)二输入或门:2or, 3)输入,输出引脚
实验一 1位全加器的设计 位全加器的设计
一位全加器的原理分析
• 一位全加器可由两个一位半加器与一个或 门构成,其原理图如下图。
该设计利用层次结构描述法, • 首先设计半加器电路,将其打包为半加器 模块; • 然后在顶层调用半加器模块 半加器模块和ALTERA提供 半加器模块 的二输入或门 输入或门组成全加器电路; 输入或门 • 最后将全加器电路编译下载到实验箱,
输入是 两个加数:ain,bin, 一个进位:cin 这三个输入数据是1位(1bit),可由DE2的 SW0,SW1,SW2提供 为了显示更加清楚,可以将ain,bin,cin的输出引 出到DE2上的红色发光二极管显示,可选用 LEDR0,LEDR1,LEDR2. 输出是: 输出是: 和:sum 进位:cout 输出可由DE2的绿色发光二极管显示,可选用 LEDG0,LEDG1
• 步骤一:建立full_adder的工程 (project)
新建立full_adder工程(project)
设置project相关参数
• 设置project放置的位置及其名称,随后按 Next继续
• 添加文件到工程(project)中,在无相关文件需要 添加的情况下,按Next继续
• 选择FPGA目标器件,根据DE2的平台情况,选 择cyclone II系列的EP2C35F672C6,继续

实验一1 1位全加器的设计

实验一1 1位全加器的设计

实验一1位全加器的设计一、实验目的1.熟悉ISE软件的使用;2.熟悉下载平台的使用;3.掌握利用层次结构描述法设计电路。

二、实验原理及说明由数字电路知识可知,一位全加器可由两个一位半加器与一个或门构成,其原理图如图1所示。

该设计利用层次结构描述法,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路;最后将全加器电路编译下载到实验板,其中a,b,cin 信号可采用实验箱上SW0,SW1,SW2键作为输入,输出sum,cout信号采用发光二极管LED3,LED2来显示。

图1 全加器原理图三、实验步骤1.在ISE软件下创建一工程,工程名为full_adder,工程路径在E盘,或DATA盘,并以学号为文件夹,注意不要有中文路径,注意:不可将工程放到默认的软件安装目录中。

芯片名为Spartan3E系列的XC3S500E-PQG2082.新建Verilog HDL文件,首先设计半加器,输入如下源程序;module half_adder(a,b,s,co);input a,b;output s,co;wire s,co;assign co=a & b;assign s=a ^ b;endmodule3.保存半加器程序为half_adder.v,通过HDL Bench画仿真波形,获得仿真用激励文件,随后进行功能仿真、时序仿真,验证设计的正确性,观察两种仿真波形的差异。

4.在Design窗口中,选择Design Utilities→Create Schematic Symbol创建半加器模块;5.新建一原理图(Schematic)文件,在原理图中调用两个半加器模块、一个或门模块,按照图1所示连接电路,并连接输入、输出引脚。

完成后另保存full_adder.sch。

6.对设计进行综合,如出现错误请按照错误提示进行修改。

7.HDL Bench画仿真波形,获得仿真用激励文件,分别进行功能与时序仿真,验证全加器的逻辑功能,观察两类波形的差异。

EXP01实验一 一位全加器设计

EXP01实验一 一位全加器设计

实验一一位全加器电路设计实验目的:1.熟悉EDA软件开发工具(MAX+plus II)的基本操作;2.熟悉KHF-4型CPLD/FPGA实验箱的板上资源分布。

3. 以原理图方式设计一位全加器,进行软件仿真、下载和硬件测试。

实验设备:微型计算机一台、KHF-4型实验箱一个实验原理:全加器原理图和真值表分别如图1和表1所示:图1. 半加器原理图表1. 半加器真值表全加器原理图和真值表分别如图2和表2所示:图2. 全加器原理图表2. 全加器真值表实验步骤:1)打开MAX+plus II设计软件。

2)新建图形编辑文件(File/New/Graphic Edit file),在文件空白处双击鼠标左键(或选择菜单Symbol/Enter Symbol)打开添加符号对话框(Enter Symbol),在“Symbol Libraries”框中双击选择“../maxplus2/max2lib/prim”库,在Symbol Files添加半加器原理图中各元件、输入(input)和输出(output)管脚,修改管脚名称后完成半加器原理图的绘制如图1;保存文件到具体设计目下。

图3.新建文件、添加符号和保存文件3)将半加器文件设为顶层文件(File/Project/Set Project to Current File),打开编译器(MAX+plus II/Complier)进行编译综合。

图4.设为顶层和编译4)创建半加器符号(File/Creat Default Symble)。

5)新建图形编辑文件(File/New/Graphic Edit file),在文件空白处双击鼠标左键打开添加符号对话框(Enter Symbol),从“File Symbol”框中调用半加器符号,完成全加器原理图的绘制如图2,保存文件到具体设计目录。

6)将全加器文件设为顶层文件,打开编译器进行编译综合。

7)新建波形编辑文件(File/New/Waveform Edit file),添加节点信号(在Name下点击鼠标右键选择Enter Nodes from SNF…)并编辑输入信号波形;保存(File/Save)波形文件(按默认文件名点击OK保存)。

计算机组成原理-半加器实验报告

计算机组成原理-半加器实验报告

课程设计报告课程设计名称:计算机组成原理课程设计课程设计题目:半加器和全加器设计开发院(系):专业:班级:学号:姓名:指导教师:完成日期:目录1.1实验目的 (3)1.2 实验内容 (3)1.3 实验仪器及元件 (3)2.1 实验原理及电路图 (3)2.2 实验过程及结果记录 (4)2.3 实验结果分析 (6)3.1 思考 (6)3.2 收获感想 (6)B A B A⊕=1.1 实验目的1、掌握MAX +PLUS Ⅱ开发软件的安装和使用方法,能够初步运用此软件进行程序的编写、编译、逻辑综合和优化,以及进行功能和时序仿真2、掌握利用此软件进行程序的下载和适配以及与EDA 实验开发箱相结合进行硬件验证的方法3、掌握半加与全加的原理1.2 实验内容1、熟知MAX+plusII 设计流程2、设计一个半加器和一个全加器1.3 实验仪器及元件半加器:2个input A 、B ;一个AND2;一个XOR ;两个output 。

全加器:3个input ain 、bin 、cin ;两个设计好的半加器元件;一个OR2;两个output 。

2.1 实验原理及电路图半加器原理:只求本位和,不考虑低位的进位。

实现半加操作的电路叫做半加器。

状态表A 、B 为两个加数,C 为向高位的进位,C=AB , S 为半加和。

全加器原理:加数、加数以及低位的进位三者相加称为“全加”,实现全加操作的电路叫做全加器。

Ci-1:来自低位的进位 Ci :来自高位的进位2.2 实验过程及结果记录半加器基本实验步骤:为本项工程设计建立文件夹(文件夹名不能用中文和空格),文件夹取名adder ; 打开MAX+PLUS II ,输入设计项目和存盘,新建设计文件,选择打开原理图编辑器;点击鼠标右键,出现窗口选择“Enter Symbol ”输入一个元件,在接下来的窗口中输入元件名,点击OK 就可以出现实验所需的元件;将得出的元件在原理编辑窗口连接好,成为一个半加器;下面给出我实验中做出的半加器原理图:将半加器原理图存盘(存在第一步新建的文件夹中)、将设计项目设置成工程文件并对其进行Ai B iC i-C iS i编译。

VLSI数字电路0.18um工艺全加器设计解析

VLSI数字电路0.18um工艺全加器设计解析

《VLSI电路设计》---项目设计传输门全加器设计指导老师:组长:目录一.项目设计方案 (2)1.1一位全加器简介: (2)1.2一位全加器的真值表: (2)1.3镜像CMOS全加器电路图: (3)1.4镜像CMOS全加器的棍棒图: (4)1.5镜像CMOS全加器的优化棍棒图: (4)1.6镜像CMOS全加器的欧拉路径: (5)1.7镜像CMOS全加器的网表: (5)二.项目设计完成情况 (7)1. HSPICE前仿真: (7)2.版图: (8)3.DRC/LVS/PEX完成情况: (8)4.HSPICE后仿真情况: (9)5.全加器面积及性能: (9)6.项目展望: (10)附录一 (10)附录二 (10)一.项目设计方案1.1一位全加器简介:全加器是算术运算电路运算中的基本单元,也是构成多位加法器的基本单元,介于加法器在算术运算电路当中的重要作用,使得全加器的设计显得十分重要。

通常情况下,我们采用两种结构构成全加器电路,一种是由两个半加器组成,另一种为镜像结构。

全加器的基本符号如图1-1所示。

其中,A 、B 为加法器的输入,Cin 进位输入,Sum 为输出,Cout 进位输出。

1-1 全加器基本符号全加器的逻辑表达式为:Cout=AB+BC in +AC inSum=ABC in +Cout(A+B+C in )1.2一位全加器的真值表:FULL ADDER A B Cin CoutSum1-2 全加器真值表1.3镜像CMOS 全加器电路图:1-3 镜像全加器电路图当D 为高时,!Cout 被置为Vdd,清除进位;当G 为高时,!输入 输出 A B Cin Sum Cout 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1Cout被置为0,产生进位。

当P为1时,输入进位反向传递到!Cout,同时,可以分析求和电路,当A,B,Cin同时为0,!S为1,和为0;当A,B,Cin同时为1,!S为0,和为1;当A,B,Cin既有1也有0时,!S的输出由!Cout取反决定。

一位全加器_可编程逻辑器件VHDL实验报告

一位全加器_可编程逻辑器件VHDL实验报告

1.一位全加器实验报告一、实验目的要求学习计数器的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。

设计程序独立完成全加器的仿真。

全加器由两个半加器组合而成,原理类似。

半加器不考虑低位进位,但有高位进位;全加器要考虑低位的进位且该进位和求和的二进制相加,可能获得更高的进位。

二、设计方法与原理图图1是一个一位二进制全加器电路图,由图1所示,由两个半加器和一个或门构成一个一位二进制全加器;ain,bin为全加器的输入端,cin为全加器的低位进位,sum是全加器的全加和,cout是全加器的全加进位端;从而实现一位二进制全加器。

(图1)一位二进制全加器原理图三、实验内容按照教材上的步骤,在max plus II上进行编辑、编译、综合、适配、仿真。

说明例中各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。

四、源程序library ieee;use ieee.std_logic_1164.all;entity full_adder isport(a,b,cin:in std_logic;cout,sum:out std_logic);end entity full_adder;architecture fd1 of full_adder iscomponent h_adderport(a,b:in std_logic;co,so:out std_logic);end component;component or2aport(a,b:in std_logic;c:out std_logic);end component;signal d,e,f:std_logic;beginu1:h_adder port map(a=>ain,b=>bin,co=>d,so=>e); u2:h_adder port map(a=>e,b=>cin,co=>f,so=>sum); u3:or2a port map(a=>d,b=>f,c=>cout);end fd1;五过程性截图六、仿真结果(图2)一位二进制全加器仿真结果七、分析结果与总结由图2,本实验的目标已达成,及通过编写VHDL语言实现一个一位二进制全加器。

EDA实验指导(基于DE2-115)

EDA实验指导(基于DE2-115)

EDA实验指导(基于DE2-115)信息科学与工程学院电子信息系徐雯娟编著EDA实验指导(基于DE2-115)实验一:一位全加器设计——原理图设计初步以下拟通过1位全加器的设汁,介绍原理图输入的基木设计方法。

软件基于quartus213.0版本。

1位全加器可以用两个半加器及一个或门连接而成,因此需要先完成半加器的设计。

下面将给出使用原理图输入的方法进行底层元件设计和层次化设计的主要步骤。

1.新建工程点击两次“next”后,如下图。

假设本项设计的文件夹取名为adder4,路径为:d:\ex\adder4(建议大家把所有的EDA实验都放在一个文件夹中,如ex,然后为每个实验在这个文件夹中新建一个文件夹,以实验名命名,如adder4)。

选择目标芯片:cycloneIVE系列的EP4CE11529C7,如图:直接next,之后到达完成界面,这里会看见关于整个工程的一些信息,核对一下是否正确,然后点击“finish”。

此时界面上会出现顶层文件名和项目名:2.新建原理图文件原理图编辑输入流程如下:(1)新建原理图文件。

打开QuartusII,选菜单“File”一“New”,在弹出的“New-”对话框中选择“Design Files”的原理图文件编辑输入项“Block block diagram/schematic File"按"OK"后将打开原理图编辑窗。

(2)在编辑窗中调入元件,完成半加器的原理图输入。

点击按纽“”或直接双击原理图空白处,从“Symbol”窗中选择需要的符号,或者直接在“name”文本框中键入元件名,如“and2”为2输入与门,点OK按钮,即将元件调入原理图编辑窗中。

例如为了设计半加器,分别调入元件and2,not,xnor和输入输出引脚input和output。

并如图用点击拖动的方法连接好电路。

然后分别在input和output的PIN NAME上双击使其变黑色,再用键盘分别输入各引脚名:a、b,co和s。

实验二 组合逻辑电路(半加器、全加器)

实验二 组合逻辑电路(半加器、全加器)

《数字电子技术B》实验报告班级:姓名学号:实验二组合逻辑电路(半加器、全加器)一、实验目的1.掌握组合逻辑电路的功能测试。

2.验证半加器和全加器的逻辑功能。

3.学会二进制数的运算规律。

二、实验仪器及材料74LS00 二输入端四与非门 3片74LS86 二输入端四异或门 1 片74LS54 四组输入与或非门 1片三、实验内容(如果有可能,附上仿真图)1.组合逻辑电路功能测试。

(1).用2片74LS00组成图2.1所示逻辑电路。

为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

(2).图中A、B、C接电平开关,Y1,Y2接发光管电平显示。

(3).接表2.1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式。

(4).将运算结果与实验比较。

表2.1Y1=A+B Y2=(A’*B)+(B’*C)2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。

根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可有一个集成异或门和二个与非门组成如图2.2。

图2.2(1).在实验仪上用异或门和与门接成以上电路。

A、B接电平开关K,Y,Z接电平显示。

(2).按表2.2要求改变A、B状态,填表。

表2.23.(1).写出图2.3电路的逻辑表达式。

(2).根据逻辑表达式列真值表。

表2.3(5)按原理图选择与非门并接线进行测试,将测试结果记入表2.4,并与上表进行比较看逻辑功能是否一致。

4. 测试用异或、与或和非门组成的全加器的逻辑功能。

全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或非门和一个与非门实现。

(1).画出用异或门、与或非门和非门实现全加器的逻辑电路图,写出逻辑表达式。

(2).找出异或门、与或非门和与门器件按自己画出的图接线。

接线时注意与或非门中不用的与门输入端接地。

(3).当输入端A i、B i及C i-1为下列情况时,用万用表测量S i和C i的电位并将其转为逻辑状态填入下表。

半加器课程设计

半加器课程设计

半加器课程设计一、课程目标知识目标:1. 学生能理解半加器的概念,掌握其基本原理;2. 学生能描述半加器的逻辑功能,了解其在数字电路中的应用;3. 学生能运用所学知识分析简单的数字电路问题。

技能目标:1. 学生能通过绘制逻辑图和编写真值表,熟练表达半加器的逻辑功能;2. 学生能运用基本的逻辑门电路搭建简单的半加器电路;3. 学生能运用所学知识解决实际问题,具备一定的创新能力和实践操作能力。

情感态度价值观目标:1. 学生对数字电路产生兴趣,培养探索科学的精神;2. 学生在小组合作中,培养团队协作能力和沟通能力;3. 学生在学习过程中,培养严谨、细致的学习态度,提高自我解决问题的信心。

课程性质:本课程为电子技术基础课程,旨在让学生掌握半加器的基本原理和应用,培养其创新实践能力。

学生特点:学生为初中年级,已具备一定的逻辑思维能力和动手操作能力,对电子技术有一定的好奇心和探索欲。

教学要求:结合学生特点,注重理论与实践相结合,采用启发式教学,引导学生主动参与课堂讨论和实践活动,提高学生的知识水平和实践技能。

通过分解课程目标为具体学习成果,使学生在课程学习过程中实现知识、技能和情感态度价值观的全面提升。

二、教学内容本章节教学内容主要包括以下几部分:1. 半加器的基本概念与原理- 逻辑门电路简介- 半加器的定义及功能- 半加器与全加器的区别与联系2. 逻辑门电路及其应用- 与门、或门、非门的基本原理- 半加器电路的搭建与功能分析- 逻辑门电路在半加器中的应用3. 真值表与逻辑图- 真值表的概念及作用- 逻辑图的绘制方法- 半加器真值表与逻辑图的编制4. 实践操作与问题解决- 搭建简单半加器电路- 分析并解决实际问题- 小组讨论与成果展示教学内容安排与进度:第1课时:介绍半加器的基本概念与原理,学习逻辑门电路基础知识;第2课时:学习真值表与逻辑图的编制方法,分析半加器电路;第3课时:动手实践,搭建简单半加器电路,解决问题;第4课时:总结课程内容,小组讨论,展示成果。

EDA(一)

EDA(一)

EDA 技术应用实验一 1位全加器原理图输入设计一、实验目的学习Quartus II 原理图输入设计方法和步骤,掌握应用EL-SOPC4000实验系统,将设计项目编程下载到可编程器件,并进行硬测试,验证设计的正确性。

二、实验原理1位全加器可以用两个半加器及一个或门连接而成,因此需首先完成半加器的设计。

(1)半加器原理图设计半加器只考虑了两个加数(a 、b )本身,而没有考虑由低位来的进位,所以称为“半加”,输出so 表示和数,co 表示进位数。

一位半加器的加法运算可用真值表4-1-1来表示:由真值表得逻辑表示式为:so ab ab a bco ab⎧=+=⊕ ⎨=⎩由逻辑表达式可画出半加器原理图。

(2)全加器原理图设计全加器能进行被加数(ain )、加数(bin )和由低位来的进位(cin )三者相加,得出求和结果(sum )并给出该位的进位信号(cout )。

一位全加器的加法运算可用如下真值表4-1-2来表示:由真值表得逻辑表示式为:()()()()sum ain bin cin so cin cout ain bin ain cin bin cin ain bin ain bin cin co so cin =⊕⊕=⊕⎧⎪=⋅+⋅+⋅= ⎨⎪ =⋅+⊕=+⋅⎩由逻辑表达式可利用封装的半加器元件来画出全加器原理图。

三、实验内容(1)利用Quartus II 进行1位半加器的原理图输入设计。

对其进行编辑、编译、综合、适配、仿真,并且进行元件封装入库。

(2)利用半加器元件进行1位全加器的原理图输入设计。

对其进行编辑、编译、综合、适配、仿真,并进行引脚锁定以及硬件下载测试。

引脚锁定以及硬件下载测试:功能选择位M[3..0]状态为0001,即16位拨码SW1—SW16被选中输出到总线D[15..0] 。

输入信号ain 、bin 、cin 分别对应SW1—SW3,输出信号cout 、sum 分别对应IO1—IO2。

实验2运算器ALU实验

实验2运算器ALU实验

实验2 运算器ALU实验运算器ALU是CPU的主要部件,数据处理的中心。

ALU可以实现算术加减运算和逻辑“与”、“或”、“非”运算,本实验设计8位ALU,为完成8为ALU,我们从1位全加器设计开始,经1位加法器,4位加法器,4位加减法器,到4位算术逻辑运算器ALU;再由4位ALU到8位ALU。

2.1 1位加法器设计1位加法器是构成多位加法器的基础,通过1位加法器可以组成4位加法器,4位减法器。

因此,本实验首先从1位全加器开始。

2.1.1 实验题目1位全加器。

2.1.2 实验内容设计1位全加器,并通过输入波形图验证。

2.1.3 实验目的与要求通过本实验使学生进一步掌握电子电路的设计方法,熟悉CAD软件QuartusII的使用,掌握使用QuartusII仿真来验证电路设计正确性的方法。

2.1.4 实验步骤设置本实验的项目所在路径,命名项目的名称为1ALU,顶层文件的名称也自动命名为1ALU。

如在文件夹C:\eda\ALU下新建工程1ALU,如图2-1 新建工程1ALU所示。

图2-1 新建工程1ALU直接点击next,直到器件选择对话框,如图2-2所示。

这里根据最终使用的FPGA器件选择一种器件,如Cyclone下的EP1C3T144C8,如果不下载到FPGA上进行实验,选择哪一种器件都无所谓。

图2-2 实现器件选择指定设计、仿真和时序验证工具,如图2-3所示,点击next,完成工程建立。

图2-3 工具选择设计1位全加器FA1位全加器是指可以实现两个1位二进制数和低位进位的加法运算逻辑电路(半加器不包括低位进位C i-1)。

它依据的逻辑表达式是:进位C i=A i B i+A i C i-1+B i C i-1,和S i=A i⊕B i⊕C i-1(本算式推导过程可以在教材中找到)。

其中A i和B i是两个1位二进制数,C i代表向高位的进位,C i-1代表低位来的进位,S i代表本位和。

依据上述逻辑表达式,设计实现1位全加的电路图。

南昌大学EDA实验报告完整版

南昌大学EDA实验报告完整版

EDA实验报告姓名:曾维鋆学号:6102213863老师:杨鼎成班级:通信工程132班目录实验一半加器及全加器的设计 (3)实验二模可变计数器的设计 (6)实验三序列信号检测器的设计 (11)实验四交通灯控制器设计 (15)实验五多功能数字钟设计 (22)实验六出租车计费器的设计 (34)实验七16*16 点阵显示实验 (40)南昌大学实验报告学生姓名:曾维鋆学号:61002213863 专业班级:通信132班实验类型:□验证□综合█设计□创新实验日期:2015.10.19 实验成绩:实验一半加器及全加器的设计(一)实验目的1、熟悉实验装置和QuartusⅡ软件的使用;2、熟悉和掌握EDA设计流程;3、学习简单组合、时序电路的EDA设计;4、熟悉例化语句的应用。

(二)实验内容设计一个一位全加器。

先设计一个半加器h_adder.v作为预存文件。

然后设计顶层文件对h_adder.v文件进行调用,实现全加器的功能。

(三)实验原理由3个逻辑模块组成,其中两个为半加器,一个是或门。

真值表(四)实验步骤1.建立工作库文件夹,输入半加器和全加器的Verilog HDL代码并分别存盘。

a.半加器module h_adder(A,B,SO,CO);input A,B;3output SO,CO;assign SO=A^B;assign CO=A&B;endmoduleb.全加器module f_adder(ain,bin,cin,cout,sum);output cout,sum;input ain,bin,cin;wire net1,net2,net3;h_adder U1(ain,bin,net1,net2);h_adder U2(.A(net1),.SO(sum),.B(cin),.CO(net3));or U3(cout,net2,net3);endmodule2.选目标器件CycloneII中的EP2C35F672C8并编译。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

集成电路课程设计一位半加器设计与前仿
专业:电子科学与技术
学号:
姓名:
指导老师:
一、半加器的电路设计和前仿 1.1熟习schematic 设计环境 1.2掌握半加器电路原理图输入方法 1.3掌握逻辑符号创建方法 1.4熟习电路设计的思想
1.5 熟习集成电路设计仿真工具的使用 1.6 熟习集成电路设计的流程 1.7 熟习集成电路前仿真的设计
一位半加器输入有两个输入端有两个,分别是两个一位二进制数:A 、B ;两个输出端C 代表进位S 表示和。

A B C S 0 0 0 0 0 1 0 1 1 0 0 1 1 1
1
C=A ∩ B B A B +=A s
三、试验内容和步骤 1.调用cadence 软件
输入icfb 命令调用candence 软件
2.创建模型库与单元视图
1.1在ciw窗口file→new→library,将库文件路径设置在cadence 目录下,name自定义,technology file选第二个;点击file→new →cellview生成单元视图,library name选之前自定义的此处为chen,cell name自定义,viewname设置shcemetic,tool为composer schematic点击ok,就弹出绘制原理图窗口:
快捷键:
I,add instance
W,add wire
P,add pin
U,undo
M,stretch
Del,delete
按照原理图一次添加元件,连线,check and save,无误后进行下一步。

3.创建符号
生成符号
design→create cellview→from cellview弹出cell from cellview窗口,默认设置,ok→ok。

这时候会显示一个长方形symbol 符号,将其绘画成反相器的形状,如下图;
保存为library chen下no1。

按照以上画原理图和symbol创建方式按照要求画出其他门电路原理图和简洁美观的symbol,如下:
或门电路原理图(由或非门电路串联一个非门实现):
或门电路symbol
保存为library chen 下or1。

与门电路原理图(由与非门串联一个非门实现):
与门symbol:
保存于library chen 下and1。

异或门电路结构(由于异或门是由非门、与门和或门通过一定的方式连接实现,鉴于前面步骤已经画了与门、或门、非门电路结构并生成symbol,一下可直接调用symbol生成异或门):
异或门symbol:
保存为library chen 下xor1.
4、用以上器件构成半加器并仿真
在ciw窗口再建一个画图窗口,于library chen 下cell名应不同,此处设为hadd1!画图。

选中元器件,按q可对参数进行设置。

Check ands ave。

点击tools→analog environmeng设库路径,mnt/hgfs/d/lib/csmc/csmcof/models/```````scs(此为最后一项后缀名);设置电路参数;anaiyses→choose选择tran,stoptime设置500n选moderate,ok;output→选择须测试的位置;这里是外加激励,于是仿真电路图加了两个vpulse,上升沿为5v、下降沿0v;电压vcc为5v。

一切设置好后开始仿真,如图:
仿真输入输出电压波形
四、试验中遇到的问题
试验总体进行的还算不错,没有导致滞留很久的难题。

最主要的问题是仿真时遇到了输出信号衰减太大和逻辑延迟明显。

五、试验总结
这个试验做得挺快的,得益于前几次的试验遇到的很多问题都通过请教老师同学得以解决。

这次这个实验的电路比较多,为了画图简洁以及电路勘正、电路连接的的方便,于是采用了逐个生成symbol并调用。

最后仿真的时候由于电路比较冗长,产生了比较明显的时序延迟。

于是在analog environment窗口analyses中choose窗口把stop time改成500n以适应将激励周期变大来使延迟相对逻辑电路正常功能时间变小,使仿真图形清晰、简洁。

相关文档
最新文档