大一数字逻辑大作业

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

大一数字逻辑大作业

——乒乓球比赛模拟机的设计

题目:乒乓球比赛模拟机的设计

院系:软件学院

专业年级: 14

姓名与学号:王峥 1143710510

孙一铀 1143710513

周擎阳 1143710312

指导老师:张彦航

2015年6月18日

目录

1 绪论

1.1设计目的 (3)

1.2设计要求 (3)

2 电路组成及工作原理

2.1系统逻辑模型 (4)

2.1.1系统模块需求 (4)

2.1.2组员分工 (4)

2.2系统模块结构 (4)

2.2.1全局控制模块 (4)

2.2.1.1连续四脉冲发生单元 (5)

2.2.1.2数据初始化单元 (6)

2.2.1.3倒计时显像单元 (7)

2.2.1.4 开球信号产生单元 (8)

2.2.1.5 模块总体设计展示 (9)

2.2.1.4.1逻辑图 (9)

2.2.1.4.1波形图 (10)

2.2.2中央控制模块 (10)

2.2.2.1程序综述 (10)

2.2.2.2 代码实现 (11)

2.2.2.3实现效果 (13)

2.2.3计数模块 (14)

2.2.3.1局比分计数单元 (14)

2.2.3.2大比分计数单元 (15)

2.2.3.3数据清零单元 (16)

2.2.3.4信息反馈单元 (17)

2.2.3.5显像管显示单元 (18)

3 调试过程

3.1全局控制模块 (20)

3.2中央控制模块 (24)

3.3计数模块 (26)

4 设计结论 (27)

5 设计心得与总结 (28)

附录一:总体器件表及相关器件的功能表、管脚分布 (29)

附录二:总体设计图 (30)

附录三:仿真结果 (32)

附录四:工作说明 (32)

参考文献 (32)

1 绪论

随着科学技术的发展,人类社会已进入到高度发达的信息化社会, 信息社会的发展离不开电子产品的进步。现代电子产品的发展越来越快, 各种新型电子元器件和智能化的电子产品已经在国民经济的各个领域和人民生活的各个方面得到了日益广泛的应用。实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。其中电子玩具的发展也是在日益成熟。

乒乓球游戏机控制电路是有甲、乙双方参赛,自动控制发“球”的三人乒乓球游戏机;它能完成自动裁判和自动计分是一个带数字显示的模拟游戏机。其结构简单、成本低、易操作,安全性强、无污染。乒乓球游戏机还能在娱乐的同时提高我们的反应、应变能力。具有良好的市场发展前景。

1.1 设计目的

本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用Max+Plus2电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Max+Plus2仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及逻辑门电路的一些实际用途,并将理论与实践相结合。

1.2 设计要求

(1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”

的移动速度可以调节。

(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超

前,表示未击中或违规,则对方得一分。

(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。

(5)能显示发球次数。

附加功能设计:

1、一方得分,电路自动提示3秒,此期间发球无效

2、某方达到11分后自动清0重新计数,用LED灯管显示获胜局数

2 电路组成及工作原理

2.1 系统逻辑模型

2.1.1 系统模块需求

(1)全局控制模块:

1、外界输入的全局开始信号

2、接受一个回合的结束信号,在数码管上显示倒计时,倒计时期间发球无效。

3、接受一局结束的信号,使大部分单元清0功能。

4、接受计数模块送来的换发球信号,交换发球权。

(2)中央控制模块:

1、外界输入的挥拍信号

2、全局控制模块送来的开球使能信号

3、模拟球台上显示球的双向移动

4、回合结束后给出一个回合的结束信号

(3)计数模块:

1、接受一个回合的结束信号,在数码管上显示比分计数

2、在数码管上显示发球计数

3、在数码管上显示双方获胜局数统计

4、一局结束后送出一局结束的信号

5、每记满5分送出交换发球信号

2.1.2 组员分工

(1) 全局控制模块:孙一铀

(2) 中央控制模块:周擎阳

(3) 计数模块:王铮

2.2 系统模块结构

2.2.1 全局控制单元

2.2.1.1连续四脉冲发生单元

1、单元需求:当一个回合开始信号脉冲到来时,从第一个时钟上升沿开始拷贝连续四段波形。

2、单元目的:给倒计时显像单元连续四个脉冲便于显像

3、单元管脚:

(1) Start(In):回合开始信号,由中央控制单元给出,经技术单元转发到该模块

(2) Clean(In):清0端

(3) Clock(In):时钟端

(4) Mb(In):恒为1

(5) Ma(In):由数据初始化单元给定

(6) D_cp(Out):连续四脉冲输出时钟端

4、单元设计思路:

该单元由两部分构成,一部分由双D触发器构成,一部分由74194双向移位寄存器构成,采用双D触发器能使在第四个脉冲到来时不再产生多余脉冲(具体原理设计可参见调试过程),采用74194双向移位计数其来控制电路产生正好四个脉冲,从逻辑图中可知,ABC端接地,D端接电源,每次回合开始信号到来时,Ma先送入一个逻辑“1”信号,而Mb端恒为“0”,此时进行读操作,后来Ma置为“0”,此时进行左移操作,当左移四次后,Qa端产生一个下降沿,由双D触发器控制74194不再进行工作,同时数据清0.

5、单元逻辑图展示:

6、单元波形图展示:

相关文档
最新文档