数电课程设计_八路彩灯控制器

合集下载

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器第一篇:EDA课程设计:八路彩灯控制器EDA课程设计设计题目:基于VHDL的8路彩灯控制器设计一、课程设计的目的1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。

2.增强自己实际动手能力,独立解决问题的能力。

3.通过课程设计对所学的知识进行更新及巩固.二、课程设计的基本要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。

设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。

三、课程设计的内容编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为0.25s和0.5s。

三种花型分别是:(1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。

(2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。

(3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。

四、实验环境PC机一台;软件Quartu sⅡ6.0五、课程设计具体步骤及仿真结果1、系统总体设计框架结构分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。

二选一模块:选择两种频率中的一个控制彩灯的花型。

8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计1.分频模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenclkk1:= not clkk1;end if;clkk<=clkk1;end process;end behav;RTL电路图:波形图:2.二选一模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;RTL电路图:波形图:3.8路彩灯的三种花型控制模块设计程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when “00100”=>q<=“11111111”;when “00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when“01100”=>q<=“10000001”;when“01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;w hen“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;RTL电路图:波形图:4.综合程序library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenend if;clkk<=clkk1;end process;end behav;library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;library ieee;clkk1:= not clkk1;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when“00100”=>q<=“11111111”;when“00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when “01100”=>q<=“10000001”;when “01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;when“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng is port(clk,s,rst:in std_logic;q:out std_logic_vector(7 downto 0));end;architecture one of balucaideng issignal h0,h1:std_logic;component fenpin2port(clk:in std_logic;clkk:out std_logic);end component;component mux21 port(a,b,s:in std_logic;y:out std_logic);end component;component color8 port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end component;begin u1: fenpin2 port map(clk=>clk,clkk=>h0);u2: mux21 port map(a=>h0,b=>clk,s=>s;y=>h1);u3: color8 port map(clk=>h1,rst=>rst,q=>q);end;波形图:六、实验总结第二篇:eda课程设计-彩灯控制器1.设计目的学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。

八路彩灯课程设计八路彩灯控制器的设计

八路彩灯课程设计八路彩灯控制器的设计

八路彩灯课程设计--八路彩灯控制器的设计课程设计报告课程: 数字电路题目:八路彩灯控制器的设计院系: 物理与电子信息学院专业: 2011级电子信息科学与技术学号: 20111302031姓名: 李俊完成日期: 2008 年 6 月 22 日摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。

整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。

关键词:控制、循环、555定时电路一、设计内容与设计要求1.设计目的⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。

⑵掌握计数、译码、显示综合电路的设计与调试方法。

⑶掌握实际输出电路不同要求的实现方法。

2.设计任务八路彩示系统,该系统实现以下功能:⑴八路彩灯从左向右逐次渐亮,间隔为1秒。

⑵八路彩灯从右向左逐次渐灭,间隔为1秒。

⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。

3.设计要求⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。

⑵选择元器件型号。

⑶画出总逻辑图和装配图,并在实验板上组装电路。

⑷进行电路调试,使其达到设计要求。

⑸写出总结报告。

4.参考器件555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。

二、总体设计思路根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。

时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。

三、总体设计原理1.基本原理由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR '控制清零。

第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。

8彩灯控制器课程设计

8彩灯控制器课程设计

8彩灯控制器课程设计一、课程目标知识与理解:1. 学生能理解8彩灯控制器的基本原理,掌握其电路组成及功能。

2. 学生能描述8彩灯控制器的编程方法,了解与掌握相关编程语言的运用。

3. 学生了解8彩灯控制器在现实生活中的应用,认识到其在科技领域的重要性。

技能与运用:1. 学生能够独立完成8彩灯控制器的组装,并运用所学知识进行调试。

2. 学生能够运用编程语言对8彩灯控制器进行编程,实现不同的灯光效果。

3. 学生能够运用8彩灯控制器解决实际问题,培养创新意识和动手能力。

情感态度与价值观:1. 学生在课程学习中培养对电子科技的兴趣,激发学习热情。

2. 学生通过团队合作,培养沟通、协作能力和集体荣誉感。

3. 学生在创作过程中,体验科技与艺术的结合,提高审美情趣。

课程性质:本课程为电子技术与编程实践课程,注重理论知识与实际操作相结合,培养学生的动手能力、创新意识和实际问题解决能力。

学生特点:学生处于中学阶段,具备一定的电子基础和编程知识,对新鲜事物充满好奇心,喜欢动手实践。

教学要求:教师需引导学生通过理论学习和实践操作,掌握8彩灯控制器相关知识,注重培养学生的实际操作能力和创新精神。

同时,关注学生的情感态度价值观的培养,使学生在课程学习中获得全面发展。

二、教学内容1. 8彩灯控制器基础知识:- 电路原理与组成:介绍8彩灯控制器的电路结构、元件功能及其相互关系。

- 控制器编程语言:学习控制器编程的基础语法,掌握编程方法。

2. 实践操作:- 8彩灯控制器组装:按照电路图完成控制器组装,学习使用相关工具和仪器。

- 灯光编程与调试:运用编程语言,设计不同的灯光效果,并进行调试。

3. 应用与创新:- 实际案例分享:分析8彩灯控制器在现实生活中的应用案例,激发学生创新意识。

- 创意设计实践:指导学生运用所学知识,完成创意8彩灯控制器设计。

教材章节关联:1. 电路原理与组成:对应教材第3章“电子电路基础”。

2. 控制器编程语言:对应教材第5章“编程基础与技巧”。

8路彩灯控制器

8路彩灯控制器

8路彩灯控制器实验报告8路彩灯控制器一、实验目的:1、熟熟练掌握VHDL的用法2、了解8路彩灯的工作原理,电路结构。

二、实验要求:设计一个8路彩灯控制器,能控制8路彩灯按照两种节拍、三种花形循环变化。

两种节拍分别为0.25s和0.5s。

三种花型分别是:1、8路彩灯从左至右按次序见谅,全亮后逆次序渐灭。

2、从中间到两边对称渐亮,全亮后仍从中间到两边逐次渐灭。

3、8路彩灯分两半,从左至右顺次渐亮,全亮后则全灭。

三、实验原理:四、实验程序与步骤:程序:1、8路彩灯的三种花形控制模块CDlibrary ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cd isport(clk:in std_logic;jp:out std_logic;qq:out std_logic_vector(7 downto 0)); end cd; architecture behav of cd isconstant w:integer:=7;signal q:std_logic_vector(7 downto 0); beginprocess(clk)variable flag:bit_vector(2 downto 0):="000"; variable jp1:std_logic:='0';beginif clk'event and clk='1' thenif flag="000"thenq<='1'&q(w downto 1);if q(1)='1'thenflag:="001";end if;elsif flag="001" thenq<=q(w-1 downto 0)&'0';if q(6)='0'thenflag:="010";end if;elsif flag="010"thenq(w downto 4)<=q(w-1 downto 4)&'1';q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="011";end if;elsif flag="011"thenq(w downto 4)<=q(w-1 downto 4)&'0';q(w-4 downto 0)<='0'&q(w-4 downto 1);if q(1)='0'thenflag:="100";end if;elsif flag="100"thenq(w downto 4)<='1'&q(w downto 5);q(w-4 downto 0)<='1'&q(w-4 downto 1);if q(1)='1'thenflag:="101";end if;elsif flag="101"thenq<="00000000";jp1:=not jp1;flag:="000";end if;end if;qq<=q;jp<=jp1;end process;end behav;2、二选一多路选择器模块MUX21 library ieee; use ieee.std_logic_1164.all;entity mux21 isport(a,b,s:in std_logic;y:out std_logic);end mux21;architecture ar of mux21 isbeginprocess(a,b,s)beginif s='0'theny<=a;elsey<=b;end if;end process;end ar;3、二分频模块FEN2library ieee;use ieee.std_logic_1164.all;entity fen2 isport(clk:in std_logic;clkk:out std_logic);end fen2;architecture behav of fen2 isbeginprocess(clk)variable clkk1:std_logic:='0'; beginif clk'event and clk='1'thenclkk1:=not clkk1;end if;clkk<=clkk1;end process;end behav;步骤:1、输入程序CD、MUX21、FEN2。

八路彩灯控制器 课程设计

八路彩灯控制器 课程设计

目录第一章总体设计 (5)1.1设计目的 (5)1.2设计任务与要求 (5)1.3基本工作原理 (5)1.4设计方案 (5)第二章单片机简介 (6)2.1单片机结构 (6)2.2单片机的封装形式、引脚定义及功能 (7)2.3单片机的工作原理 (8)2.4 CPU的工作原理 (8)2.5存储器结构 (9)2.6 CPU时序及时钟电路 (10)2.7复位操作 (12)第三章硬件设计 (14)3.1整体硬件接结构 (14)3.2功能模块电路 (14)3.3系统硬件原理电路图 (16)第四章软件设计 (17)4.1软件总体结构设计 (17)4.2各功能模块设计 (18)总结与心得 (25)参考文献: (26)第一章总体设计1.1设计目的1)了解单片机的基本原理及相关的简单应用。

2)掌握用单片机设计系统的一般步骤。

3)了解LED数码管的基本知识和驱动方法。

4)掌握单片机系统各个组成部分的作用以及分布位置。

5)学会运用单片机的硬件资源。

1.2设计任务与要求8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。

要求:完成以下花形变化:1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。

时间节拍为1秒。

2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。

时间节拍为1秒。

3)循环往复,用LED管模拟彩灯。

4)用汇编语言编程,用proteus仿真。

1.3基本工作原理此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。

由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。

若查到的内容为跳出代码就重新开始循环。

1.4设计方案软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。

硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。

数电课程设计报告八路花样灯控制器的设计

数电课程设计报告八路花样灯控制器的设计

数电课程设计报告八路花样灯控制器的设计学校:班级:成员:指导老师:2012.6.24目录一、设计要求 (3)二、设计目的 (3)三、技术指标 (3)四、元件清单 (3)五、电路框图 (4)六、单元电路设计 (4)七、总电路图 (7)八、问题及解决办法 (8)九、心得体会 (9)十、参考文献 (9)十一、附录(此次的课程设计的部分花样变化图) (10)一、设计要求:1、有一个时钟电路。

2、有八个LED发光二极管输出电路。

3、至少16种变化的花样控制。

二、设计目的:1、巩固和加深对电子电路的基本知识的理解,提高综合运用本课程所学的知识的能力。

2、培养根据设计需要选学参考书籍,查阅相关手册,图表和文献资料的自学能力。

3、通过电路的方案分析,论证和比较,设计计算选取元件,电路组装,调试等环节初步掌握简单实用电路的分析方法和工程设计方法。

4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电路实验的基本技能三、技术指标:设计一个有八个LED灯输出花样的控制器电路,其中有三个LED灯受74ls194芯片控制,4个LED灯受74ls160芯片控制,最后一个LED灯由两片74ls160芯片组成的二十三进制计数器的进位来控制。

从第十个时钟脉冲开始第一片74LS160的Q0端变成高电平。

此时74LS194开始移位。

通过对电源的通断来控制复位。

另外三个主要芯片统一由一个时钟芯片来控制,进而达到同步工作。

最后加上一个非门和一个与非门等完成了八路花样灯控制器的设计。

进而实现了八路LED的输出。

并且该控制器共有32种变化的花样。

(附录有其中18种花样)四、元器件清单:五、电路框图六、单元电路的设计我们设计的八路花样灯控制器共分为四大模块。

它们分别是:时钟电路模块、74LS194移位寄存器模块、主控制模块(由两片74LS160构成)、显示模块(8个发光二级管LED)(1)时钟电路图(1)图(1)所示是用555定时器接成的多谐振荡器,其分析如下:启动Multisim 11程序,我们从混合器件库(Mixed)中的定时器件(Timer)中取出 555 定时器,从工具栏中找出电阻R、电容C、地及电源VDD信号。

8彩灯控制器课程设计

8彩灯控制器课程设计

8彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解并掌握8彩灯控制器的基本原理,包括电路组成、工作流程及功能特点。

2. 学生能描述8彩灯控制器的编程方法,运用相关指令实现不同灯光效果的切换。

技能目标:1. 学生能够独立完成8彩灯控制器的组装和调试,具备实际操作能力。

2. 学生能够运用已学知识,设计出具有创意的8彩灯控制程序,实现个性化灯光效果。

情感态度价值观目标:1. 学生通过学习8彩灯控制器,培养对电子技术和编程的兴趣,激发创新意识和探索精神。

2. 学生在团队合作中,学会相互沟通、协作,培养集体荣誉感和责任感。

课程性质:本课程为电子技术实践课程,注重理论与实践相结合,强调学生的动手能力和创新能力。

学生特点:六年级学生具备一定的电子技术基础,对新事物充满好奇心,喜欢动手实践,但需引导培养团队合作意识。

教学要求:教师应关注学生的个体差异,提供个性化指导,鼓励学生积极参与,充分发挥学生的主观能动性。

同时,注重培养学生的安全意识,确保实践活动顺利进行。

通过本课程的学习,使学生将理论知识与实际操作相结合,提高综合素养。

二、教学内容本课程教学内容主要包括以下几部分:1. 8彩灯控制器原理介绍:讲解控制器的基本组成、工作原理及功能特点,对应教材第3章“智能控制器原理与应用”。

2. 电路组成与连接:学习如何识别并连接8彩灯控制器所需元器件,掌握电路搭建方法,对应教材第4章“电子电路的搭建与调试”。

3. 编程方法与指令:学习8彩灯控制器的编程方法,掌握相关指令,实现灯光效果的切换,对应教材第5章“控制器编程与应用”。

4. 实践操作:分组进行8彩灯控制器的组装、调试与编程,培养实际操作能力,对应教材第6章“实践项目:智能控制器应用”。

5. 创意设计与展示:鼓励学生运用所学知识,设计具有创意的8彩灯控制程序,进行作品展示,对应教材第7章“创新设计与实践”。

教学进度安排如下:1. 第1课时:8彩灯控制器原理介绍,电路组成与连接。

数电课程设计-八路彩灯控制器

数电课程设计-八路彩灯控制器

湖南工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级 1102学号 201101010210姓名郭昕指导教师田莉2013年 12月 27日湖南工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生姓名:郭昕学号:201101010210指导老师:田莉审批:田莉任务书下达日期 2013年 12月 16日设计完成日期 2013年 12月 27日目录一课程设计题目(与实习目的) (7)(一)、题目:多路彩灯控制器 (7)(二)、实习目的: (7)二总体方案的选择 (7)(1)总体方案的设计 (7)(2)总体方案的选择 (8)三单元电路的设计 (9)(1)花型演示电路 (9)(2)花型控制信号电路 (13)(3)节拍控制电路 (14)(4)时钟信号电路原理图 (16)四总体电路图(见附页) (18)五电路组装后,实际测量的各个单元电路的输入、输出信号波形18六安装、调试过程 (21)七故障分析与电路改进 (22)(一)、巩固数电知识 (23)(二)、学会用电路板、芯片、导线等组装各种功能的电路; (23)(三)、和同学共同合作、互相学习、共同进步 (24)八总结: (24)九附录(元器件清单): (25)十参考文献。

(25)一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

二总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。

数字电子技术课程设计-8路输出的彩灯循环控制电路模板

数字电子技术课程设计-8路输出的彩灯循环控制电路模板

绪论数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。

例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。

随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。

随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。

随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。

不论是思想,还是视觉,人们都在追求更高的美。

特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。

本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。

由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。

编者2010年于太科大目录绪论 (1)一、课程设计题目 (4)二、课程设计目的 (4)三、课程设计基本要求: (4)四、课程设计任务和具体功能 (5)五、工作原理 (5)六、设计总框图 (6)七、电路元器件的说明 (6)八、总电路图 (27)九、调试与检测 (29)十、误差分析: (31)十一、设计心得体会。

(32)附录 (33)参考文献 (34)一、课程设计题目:8路输出的彩灯循环控制电路二、课程设计目的:1、巩固和加强“数字电子技术”、“模拟电子技术”课程的理论知识的理解和应用。

2、掌握电子电路的一般设计方法,了解电子产品研制开发的过程。

3、提高电子电路实验技能及Multisim10仿真软件的使用能力。

plc8个彩灯课程设计

plc8个彩灯课程设计

plc8个彩灯课程设计一、课程目标知识目标:1. 学生能够理解PLC(可编程逻辑控制器)的基本原理和工作过程;2. 学生能够掌握PLC编程中涉及的8个彩灯控制的相关指令和程序设计;3. 学生能够了解并描述8个彩灯控制在实际工程中的应用场景。

技能目标:1. 学生能够运用所学知识,设计出实现8个彩灯控制的具体PLC程序;2. 学生能够通过实际操作,完成8个彩灯的控制,并解决过程中遇到的问题;3. 学生能够通过小组合作,进行程序调试和优化,提高解决问题的能力。

情感态度价值观目标:1. 学生在课程学习中,培养对自动化控制技术的兴趣和热情;2. 学生通过小组合作,培养团队协作精神和沟通能力;3. 学生能够认识到PLC技术在工程实际中的应用价值,激发学习动力;4. 学生在课程实践中,培养严谨、细致、负责的学习态度,提高自身综合素质。

二、教学内容本章节教学内容主要包括以下几部分:1. PLC基础知识:PLC的定义、结构、工作原理及在工业控制中的应用;教材章节:第一章《PLC概述》。

2. PLC编程基础:编程软件的使用、编程语言(梯形图、指令表等)的介绍;教材章节:第二章《PLC编程基础》。

3. 彩灯控制原理:彩灯控制电路设计、控制流程及程序设计;教材章节:第三章《PLC控制系统的设计与应用》。

4. 8个彩灯控制实例:以8个彩灯为载体,详细讲解控制程序的设计与实现;教材章节:第三章《PLC控制实例》。

5. 程序调试与优化:程序下载、调试方法、故障分析与处理;教材章节:第四章《PLC程序调试与优化》。

6. 小组合作与实践:分组进行8个彩灯控制程序的设计、调试与优化;教材章节:第五章《PLC控制系统实践》。

教学内容安排和进度:共分为6个课时,具体如下:1课时:PLC基础知识学习;2课时:PLC编程基础学习;3课时:彩灯控制原理学习;4课时:8个彩灯控制实例讲解与实操;5课时:程序调试与优化;6课时:小组合作与实践。

数字电子课程设计-- 彩灯控制器

数字电子课程设计-- 彩灯控制器

数字电子课程设计 - 彩灯控制器引言彩灯控制器是一种常见的数字电子设备,用于控制彩色灯光的亮度、颜色和灯光效果。

数字电子课程设计旨在帮助学生综合应用数字电子技术的知识和技能,设计和实现一个功能完善的彩灯控制器。

本文档将介绍彩灯控制器的设计要求、主要功能以及具体的实现方案。

设计要求彩灯控制器的设计要求如下:1.支持至少三种颜色的灯光控制,例如红色、绿色和蓝色。

2.支持灯光的亮度调节,可以实现灯光的明亮和昏暗。

3.支持多种灯光效果,例如呼吸灯、闪烁等。

4.支持用户输入,例如通过按钮或旋钮来调整亮度和选择不同的灯光效果。

5.具备良好的用户界面,可以显示当前的灯光状态、亮度以及选定的灯光效果。

主要功能彩灯控制器的主要功能包括:1.控制灯光颜色:用户可以通过选择不同的颜色来控制LED灯的亮度,并实现彩色灯光的效果。

2.调节灯光亮度:用户可以通过旋钮或按钮来调节灯光的亮度,实现灯光的明亮和昏暗效果。

3.选择灯光效果:用户可以通过按钮或旋钮来选择不同的灯光效果,例如呼吸灯、闪烁等,增加灯光的变化和趣味性。

4.显示当前状态:用户界面可以显示当前选择的灯光颜色、亮度和效果,方便用户了解当前的灯光状态。

实现方案彩灯控制器的实现主要涉及到硬件和软件两个方面。

硬件设计硬件设计包括以下组成部分:1.控制器芯片:选择一款适用的微控制器或单片机作为控制器芯片,用于控制灯光的亮度和颜色,以及处理用户输入和显示当前状态。

2.彩灯模块:选择合适的LED灯模块,支持至少三种颜色的灯光控制,例如使用RGB灯模块。

3.输入设备:选择适当的输入设备,例如按钮、旋钮或触摸屏,用于用户调节亮度和选择灯光效果。

4.显示设备:选择合适的显示设备,例如LCD屏幕或LED显示,用于显示当前的灯光状态、亮度和选定的灯光效果。

软件设计软件设计包括以下主要任务:1.控制器程序:设计控制器程序,实现灯光亮度和颜色的控制,以及处理用户输入和显示状态。

2.输入处理:编写代码处理用户输入,例如监听按钮或旋钮的状态变化,并根据输入调整灯光亮度和选择效果。

数电课程设计_八路彩灯控制器

数电课程设计_八路彩灯控制器

湖南工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级1102学号0210姓名郭昕指导教师田莉2013年12月27日湖南工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生姓名:郭昕学号:0210指导老师:***审批:田莉任务书下达日期2013年12月16日设计完成日期2013年12月27日目录一课程设计题目(与实习目的)错误!未定义书签。

(一)、题目:多路彩灯控制器错误!未定义书签。

(二)、实习目的:错误!未定义书签。

二总体方案的选择错误!未定义书签。

(1)总体方案的设计错误!未定义书签。

(2)总体方案的选择错误!未定义书签。

三单元电路的设计错误!未定义书签。

(1)花型演示电路错误!未定义书签。

(2)花型控制信号电路错误!未定义书签。

(3)节拍控制电路错误!未定义书签。

(4)时钟信号电路原理图错误!未定义书签。

四总体电路图(见附页)错误!未定义书签。

五电路组装后,实际测量的各个单元电路的输入、输出信号波形错误!未定义书签。

六安装、调试过程错误!未定义书签。

七故障分析与电路改进错误!未定义书签。

(一)、巩固数电知识错误!未定义书签。

(二)、学会用电路板、芯片、导线等组装各种功能的电路;错误!未定义书签。

(三)、和同学共同合作、互相学习、共同进步错误!未定义书签。

八总结:错误!未定义书签。

九附录(元器件清单):错误!未定义书签。

十参考文献。

错误!未定义书签。

一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

八路彩灯控制课程设计

八路彩灯控制课程设计

八路彩灯控制课程设计一、课程目标知识目标:1. 学生能够理解并掌握八路彩灯的基本电路原理和控制方法。

2. 学生能够运用所学的电子元件,如电阻、电容、二极管等,分析并搭建八路彩灯电路。

3. 学生能够描述并解释八路彩灯电路中涉及的物理现象,如电流、电压、颜色变化等。

技能目标:1. 学生能够运用电路图绘制工具,设计八路彩灯电路图,并展示其功能。

2. 学生能够运用编程软件,编写控制程序,实现八路彩灯的多样式切换和自动控制。

3. 学生能够运用实验器材,动手搭建八路彩灯电路,并解决实际操作中遇到的问题。

情感态度价值观目标:1. 学生在课程学习中,培养对电子技术的兴趣和热情,提高科技创新意识。

2. 学生通过团队合作完成课程任务,培养沟通协作能力和集体荣誉感。

3. 学生在学习过程中,认识到电子技术在实际生活中的应用,增强环保意识和节能意识。

课程性质:本课程为电子技术实践课程,旨在让学生通过动手实践,掌握电子元件的应用和电路搭建方法。

学生特点:初三学生,具备一定的物理知识和动手能力,对新鲜事物充满好奇心。

教学要求:注重理论与实践相结合,引导学生主动探索,培养解决问题的能力和创新精神。

将课程目标分解为具体的学习成果,便于教学设计和评估。

二、教学内容1. 电子元件基础知识:介绍电阻、电容、二极管等基本电子元件的原理和特性,关联课本第三章第二节。

2. 八路彩灯电路原理:讲解八路彩灯电路的设计原理,包括电路图绘制、元件连接方式等,关联课本第四章第一节。

3. 编程控制方法:介绍编程软件的使用,编写控制程序实现八路彩灯的多样式切换,关联课本第五章第三节。

4. 实践操作:指导学生动手搭建八路彩灯电路,进行实际操作,解决问题,关联课本第六章实践环节。

教学大纲安排:第一课时:电子元件基础知识学习,认识电阻、电容、二极管等元件,了解其作用。

第二课时:学习八路彩灯电路原理,分析电路图,了解元件连接方式。

第三课时:编程控制方法学习,掌握编程软件的使用,编写基础控制程序。

8路彩灯控制器课程设计

8路彩灯控制器课程设计

8路彩灯控制器课程设计1. 引言8路彩灯控制器是一种用于控制多个彩灯的设备,可以实现对彩灯的亮度、颜色、闪烁等功能进行调节。

本课程设计旨在通过设计和实现一个基于Arduino的8路彩灯控制器系统,让学生了解并掌握彩灯控制器的原理和应用。

本文将从以下几个方面进行详细介绍:系统设计概述、硬件设计、软件设计、系统测试与调试以及课程教学建议。

2. 系统设计概述本系统采用Arduino作为主控芯片,通过与8个彩灯模块的连接,实现对彩灯的控制。

系统具有以下特点:•支持多种亮度和颜色调节方式,如PWM调光和RGB颜色混合。

•支持闪烁效果的设置和控制。

•可以通过串口或无线通信进行远程控制。

3. 硬件设计3.1 硬件组成本系统的硬件组成如下:•Arduino UNO开发板:作为主控芯片,负责接收指令并控制彩灯。

•彩灯模块:共8个,每个模块包含一个彩灯和相应的控制电路。

•电源模块:用于为Arduino和彩灯模块提供电源。

3.2 连接方式将Arduino与彩灯模块连接如下:•将8个彩灯模块的控制引脚分别连接到Arduino的数字IO口。

•将彩灯模块的电源引脚连接到电源模块的输出端。

•将Arduino的GND引脚与电源模块的GND引脚相连。

4. 软件设计4.1 控制逻辑系统的控制逻辑如下:1.初始化系统,设置各个IO口的功能和初始化默认参数。

2.进入主循环,等待指令。

3.接收指令并解析,根据指令类型执行相应操作。

4.执行完毕后返回主循环。

4.2 主要功能实现本系统的主要功能包括:•彩灯亮度调节:通过PWM信号控制彩灯亮度,可以实现从全亮到全暗的无级调光效果。

•彩灯颜色调节:通过RGB三基色混合,可以实现多种颜色的选择和调节。

•彩灯闪烁效果:通过控制彩灯的开关状态和亮度,可以实现闪烁效果。

4.3 程序框架系统的程序框架如下:void setup() {// 初始化系统}void loop() {// 等待指令// 解析指令// 执行操作}5. 系统测试与调试在完成硬件和软件设计后,需要进行系统测试与调试,以确保系统功能正常。

eda八路彩灯控制器课程设计

eda八路彩灯控制器课程设计

课程名称:EDA八路彩灯控制器设计课程目的:1. 了解EDA软件的基本操作和应用;2. 掌握数字电路设计的基本理论和方法;3. 熟悉FPGA设计流程;4. 学习彩灯控制器的设计原理和实现方法;5. 培养学生的团队合作能力和实际动手能力。

课程大纲:1. EDA软件的基本操作和应用1.1 EDA概念及发展历史1.2 常见的EDA软件及其特点1.3 EDA软件的安装和基本操作2. 数字电路设计基础2.1 逻辑门及其运算2.2 组合逻辑电路设计2.3 时序逻辑电路设计2.4 FPGA概念及应用3. 彩灯控制器设计原理与方法3.1 LED灯控制器的基本原理3.2 PWM调光原理及实现3.3 彩灯控制器的电路设计与原理图绘制3.4 彩灯控制器的FPGA设计与仿真4. 课程实践4.1 彩灯控制器实验板的制作4.2 EDA软件仿真实验4.3 彩灯控制器的硬件调试与验证4.4 彩灯控制器的功能实现与效果展示课程评价:本课程通过结合理论学习和实践操作相结合的教学方式,让学生全面掌握EDA软件的使用方法,深入理解数字电路的设计原理,以及彩灯控制器的具体实现方法。

通过实践环节,培养学生的动手能力和团队合作精神,使学生在课程中获得知识的能够运用所学知识解决实际问题。

通过该课程的学习,学生将掌握FPGA设计流程,了解数字电路设计的基础知识,并具备彩灯控制器设计和制作的能力。

结语:EDA八路彩灯控制器设计课程旨在培养学生的实际操作技能,通过设计和制作彩灯控制器,让学生在实践中巩固所学的EDA软件操作和数字电路设计知识,同时培养学生的团队合作和解决问题的能力。

希望学生能够在课程中认真学习,勇于实践,在实验中不断探索和创新,不断提高自己的实际动手能力和工程实践能力。

在接下来的1500字内容中,我们将进一步细化课程设计的细节,包括每个主题下的具体教学内容、示例和案例分析等部分。

3. 彩灯控制器设计原理与方法3.1 LED灯控制器的基本原理LED(Light Emitting Diode)是一种半导体器件,是一种能将电能转化为光能的二极管。

数电课程设计八路花样灯

数电课程设计八路花样灯

数字电子技术课程设计八路花样灯一、设计要求设计一个八路花样灯,控制器,其具体要求如下:基本功能:(1)有一个时钟电路。

(2)有八个LED发光二极管输出电路。

(3)至少16种花样灯变化的控制。

增加功能:64种以上变化花样灯控制。

二、实验目的1.巩固和加深对电子电路的基本知识的理解,提高综合运用本课程所学的知识的能力。

2.培养根据设计需要选学参考书籍,查阅相关手册,图表和文献资料的自学能力。

3.通过电路的方案分析,论证和比较,设计计算选取元件,电路组装,调试等环节初步掌握简单实用电路的分析方法和工程设计方法。

4.学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电路实验的基本技能三、设计方案分析题目,通过分析问题和初步的整体思考,设计出如下方案:整体功能的实现需要以下三个模块来实现:花型的演示及控制模块,节拍控制模块,时钟信号的产生。

时钟信号的产生由一个555多频振荡器来实现,花型的演示由两个74ls194(双向移位寄存器)来实现,花型的控制功能由第一个74ls161(四位二进制同步计数器)产生分频信号控制置数及左右移,所置入数有另外两片74ls161控制。

设计总框图如图所示:四、元器件及其数量及其简介4.1 74LS161简介<74ls161引脚图>管脚图介绍:时钟CP和四个数据输入端P0~P3(或D0~D3)清零/MR使能CEP,CET置数PE数据输出端Q0~Q3以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)<74LS161功能表>从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。

当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。

8路彩灯控制器课程设计

8路彩灯控制器课程设计

8路彩灯控制器课程设计一、课程目标知识目标:1. 学生能理解8路彩灯控制器的基本原理,掌握相关电子元件的功能和连接方式。

2. 学生能描述8路彩灯控制器的电路图,并解释其工作原理。

3. 学生了解8路彩灯控制器在现实生活中的应用,并能够举例说明。

技能目标:1. 学生能够正确使用工具和仪器进行8路彩灯控制器的组装和调试。

2. 学生通过动手实践,掌握基本的电路故障排查和解决问题的方法。

3. 学生能够运用所学知识,设计简单的8路彩灯控制程序,实现不同的灯光效果。

情感态度价值观目标:1. 培养学生对电子制作和编程的兴趣,激发创新意识和探索精神。

2. 培养学生团队协作意识,学会与他人共同解决问题,提高沟通与表达能力。

3. 增强学生对科技与生活的联系的认识,培养环保意识和责任感。

课程性质分析:本课程属于电子技术与应用领域,结合实际操作,注重培养学生的动手能力、创新思维和实际应用能力。

学生特点分析:初中年级的学生对新鲜事物充满好奇心,动手能力强,但理论知识相对薄弱,需要通过实践操作来加深理解。

教学要求:1. 理论与实践相结合,注重培养学生的实际操作能力。

2. 教学过程中注重启发式教学,引导学生主动探究、发现问题、解决问题。

3. 关注学生的个体差异,提供个性化的辅导,使每个学生都能在课程中收获成长。

二、教学内容1. 电子元件认知:介绍常用电子元件如电阻、电容、二极管、三极管等,结合教材相关章节,让学生了解其功能及在8路彩灯控制器中的作用。

2. 电路原理:分析8路彩灯控制器的电路图,讲解各部分电路的功能及相互关系,对应教材中电路分析的内容。

3. 组装与调试:指导学生按照电路图组装8路彩灯控制器,学习焊接、接线等基本技能,参照教材相关章节进行实践操作。

4. 编程与控制:介绍简单的编程方法,使学生能够通过编程实现不同的灯光效果,结合教材中编程与控制部分的内容进行教学。

5. 故障排查:教授学生如何分析并解决8路彩灯控制器在运行过程中可能出现的故障,运用教材中故障排查技巧进行实践。

循环彩灯控制器课程设计8路

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器设计题目:循环彩灯控制器院(部):机械与电子工程学院专业:学生姓名:学号:班级:日期:指导教师:课程设计任务书目录1、摘要 (4)2、关键字 (4)3.设计背景……………………………………………………43、1 了解数字电路系统得定义及组成…………………43.2 掌握时钟电路得作用及基本构成…………………44.设计方案得选择 (5)5.单元电路得设计……………………………………………65。

1 花型控制电路得设计………………………………65、2 花型演示电路得设计……………………………105。

3 节拍控制电路得设计……………………………105.4 时钟信号电路得设计 (11)6.总体电路图………………………………………………127.各个单元电路得输入输出波形 (12)8.电路调试 (15)9.元器件清单………………………………………………1610.分析与总结 (17)11.致谢 (19)12.参考文献 (1)913、指导教师评语 (20)循环彩灯控制器得设计1.摘要本次循环彩灯得设计制作由时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路构成得集成电路来实现,其中花型控制电路由1614位二进制同步计数器完成,花型演示电路由195双向移位寄存器完成(可左移右移完成花型变化),节拍变化由151八选一数据选择器完成,节拍得快慢变化可有74双上升沿D触发器完成,它可实现二分频。

2。

关键字循环彩灯、时钟信号CP电路、花型控制电路、花型演示电路、节拍控制电路。

3.设计背景3、1了解数字电路系统得定义及组成数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路与电源等、输入电路主要作用就是将被控信号转换成数字信号,其形式包括各种输入接口电路。

比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理得数字信号、模拟信号则需要通过模数转换电路转换成数字信号再进行处理。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

工程学院课程设计课程名称电子技术课程设计课题名称彩灯控制器专业电气工程及其自动化班级 1102学号 0姓名郭昕指导教师田莉2013年 12月 27日工程学院课程设计任务书课程名称:电子技术课程设计题目:多功能数字钟电路专业班级:电气1102学生:郭昕学号:0指导老师:田莉审批:田莉任务书下达日期 2013年 12月 16日设计完成日期 2013年 12月 27日目录一课程设计题目(与实习目的) (7)(一)、题目:多路彩灯控制器 (7)(二)、实习目的: (7)二总体方案的选择 (7)(1)总体方案的设计 (7)(2)总体方案的选择 (8)三单元电路的设计 (9)(1)花型演示电路 (9)(2)花型控制信号电路 (13)(3)节拍控制电路 (14)(4)时钟信号电路原理图 (16)四总体电路图(见附页) (18)五电路组装后,实际测量的各个单元电路的输入、输出信号波形18 六安装、调试过程 (21)七故障分析与电路改进 (22)(一)、巩固数电知识 (23)(二)、学会用电路板、芯片、导线等组装各种功能的电路; (23)(三)、和同学共同合作、互相学习、共同进步 (24)八总结: (24)九附录(元器件清单): (25)十参考文献。

(25)一课程设计题目(与实习目的)(一)、题目:多路彩灯控制器(二)、实习目的:1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

二总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现时钟信号的产生。

主体框图如下:方案二:在方案一的基础上将整体电路分为四块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。

并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

主体框图如下:根据所提供的实验器材各模块总体思路如下:时钟信号CP电路:参见高等教育王淑银主编的《数字电路与逻辑设计》课本P404图10-3-6(a);花型控制电路:由1614位二进制同步计数器完成;花型演示电路:由195 双向移位寄存器完成(可左移右移完成花型变化);节拍控制电路:节拍变化由151八选一数据选择器完成,节拍的快慢变化可有74双上升沿D触发器完成,它可实现二分频。

(2)总体方案的选择方案一与方案二最大的不同就在,方案一是基于基本要求而设计的,方案二加入了节拍的变化,花型控制电路和花型演示电路的CP都是节拍控制之后的CP。

两种方案的基本思路相同,将整个设计电路的功能模块化,设计思想比较简单。

元件种类使用少,且都较熟悉易于组装电路。

这么设计的出发点是:电路设计模块化,易于检查电路,对后面的电路组装及电路调试都很方便。

花型控制电路简单,花型也比较简单。

由于在设计的构想时期,已经确定将电路模块化,设计的过程中又已经将节拍控制电路设计出来,通过仿真软件也实现了设计要求——分频。

方案二同时完成了选做的要求,只要确保每一模块实现其功能方案二并不难也不复杂,为了确保短时间完成课程设计和高效率,我选择了方案二。

三单元电路的设计(1)花型演示电路由二片移位寄存器194实现。

其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。

而花型之间的变化通过花型控制电路的输出即161级联的计数器输出控制(它们由同一个CP脉冲控制)。

三种花型变换样式花型1:8路灯分两半。

从左至右渐亮,全亮后,再分两半从左至右渐灭。

循环两次;花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。

循环两次;花型3:从左至右顺次渐亮。

全亮后逆序渐灭。

循环两次。

移存器输出状态编码表我的设计是每种花型完整显示两遍,所以三种花型完全显示一遍需要的总结拍数为64,即1~16显示第一个花型,17~32显示第二个花型,33~64显示第三个花型。

要用194实现三个花型的连续显示必须对两片194的S1、S0和SL、SR一句节拍的变化进行相应的改变,通过161的输出反馈来控制经过观察每16个CP低位片输出Q1—Q4变化比较频繁,根据变化的花型频率选用高位片的Q5—Q6去控制194的SL、SR、S1、S0的变化从而实现滑行的变化。

现将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1~L8。

列出各花型和其对应的194的S1、S0、SL 、SR 的输入信号及节拍控制信号列表如下:(用^Li 表示Li 的取非)列出卡诺图分析 194低位片SL=^L8S1=Q B . ^ Q ASR=^L8 SL=^ ( QA . ^QB)194高位片SL=X SR=^ QB . L4+ QB.^L8S1=0 S0=1由上图分析可以得到控制194高、低位片的左移右移变化控制端S1、S0以及串行输入端的由161的输出端QA、QB和本身输出端L4和^L8控制。

用去QA—QD表示161从低位到高位的个输出端。

控制结果表达式如下:电路图如下:(2)花型控制信号电路由二片161级联的模128(三种花型节拍每种显示两遍,再总体重复一遍的总节拍数)计数器。

161的级联用的是同步,并用^QH清零。

当三种花型全新显示一遍后(总共64拍)161的输出变为00000100所以将161高位片的Q2(即QG)信号输给节拍控制电路的151的A来通过节拍控制电路改变第二遍花型显示的频率。

161的CP脉冲来自节拍控制电路中74LS151的输出端Y。

电路图如下:(3)节拍控制电路由一片151和一片74级联实现。

整体上实现脉冲频率的变换,即交替产生快慢节拍。

令74的Vcc,CLR,PR都接高电平,将^Q的输出接到D端,Q端的输出接到151的D1端。

令151的B,C,G~,GND,D2~D7接低电平,Vcc接高电平,D0接时钟信号的CP脉冲,A端接由花型控制电路的QG 输出。

所以Y端的输出就为:Y=C P·^A+Q·A(Q是74D触发器的输出端)由D触发器具有记忆功能,记录上一个状态,所以在每一个CP脉冲的上升沿,Q输出为上一次的记录(即一个脉冲)。

也就比时钟信号电路的CP脉冲慢了一拍。

所以通过A为0或1选择Y端输出的脉冲的频率。

A端接的是161的高位片的QC即当到达第64拍时QC为1接下来的65~128拍为变慢后的脉冲输出。

电路图如下:(4)时钟信号电路原理图由一片555加上适当电容及电阻实现。

电容取:4.7μf0.01μf电阻取:150 kΩ 4.7 kΩ电路图如下:四总体电路图(见附页)注:由于仿真画图时芯片复杂,CP产生电路产生用电源代替(已试验,可以等效)。

五电路组装后,实际测量的各个单元电路的输入、输出信号波形1.基本CP脉冲产生电路波形图与分频电路波形图2. 测试波形: (列依次为CP脉冲,低位片194A,B,C,D,高位片194A,B,C,D即QA ---QH)花型一:花型二:花型三:六安装、调试过程本次数字电路课程设计总共用了4天完成的,这几天都在学习思考,每一天都有所收获,都有所进步。

第一天刚刚分到题目的时候有些兴奋和激动,数电的基础不差,加上数电试验都是踏踏实实认真完成的。

对于161、194和其他器件的功能和应用都很熟悉。

但是在具体设计电路时出现了疑惑。

用194去实现一个花型很简单,如果是三个分开比较麻烦,但是本次设计要同时实现三个花形,是通过151来选择呢还是是手动呢?手动有些不切实际。

经过思考没有得到解决,只觉得161的计数器如果不去反馈控制194的变化,那么它的存在价值不大,接下来我看了一些参考书籍,大概有了自己的思路,于是开始设计,我用了学校发的教材里所提供的前两种,并在网上找了一些资料后,我自己也在前两种的基础上设计了几种。

所以刚开始的几天就一直在想怎样将三种花型衔接起来,书中介绍比较粗略,但并不影响设计,只要知道S1、S0、SL、SR的作用即可。

还是在思考一下,如果给161和194的CP是同步的那么就每来一个上升沿161计数一次同时194的变化一次,每个花型需要的CP脉冲数是固定的,也就是说只要通过计数器的反馈就可以控制滑行之间的转换。

但是161的输出端有8各,具体怎么控制呢?想不通的,就回归到数电课本上去,列出状态转移表,来找规律。

通过观察终于成功用两个161的输出端来反馈,这样电路就非常简单了,还能实现功能,经过一天的努力拼搏终于把它解决,真的很有成就感。

期间确实遇到了许多问题,但经过课本和网络的资料在向老师询问才得以把它解决。

第二天,由于已经设计好,我们就准备找老师检查我们的仿真图,由于我们这组十人,所以分了两组,我们这组与另一组的有些不同,但原理基本上差不多,老师看见我们仿真的很成也很欣慰。

第三天我们就去老师那里领取器材,便开始进行最近单元——CP脉冲产生电路。

因为书上有完好的电路图直接照着连就OK。

可是问题并不是想的那么简单,因为我一开始操作就不知道怎样布线才合理,常出现看着电路图不知道这条线该走哪儿连过去,看过老师的示电路板的布线后,特别的佩服,所以当然要学习了,于是自己也那样连线,尽量走直线。

连完时钟电路后,满以为会很成功,因为我的电路连得很简洁,随后我就继续连接其他的芯片,看着电脑的仿真图大约用了一个多小时去连线,最终联好了,正当我们欣喜不已的时候,结果通上脉冲信号电后灯居然不能按仿真图上那样运行,检查电路没什么问题,我开始不知所措,但我没有放弃,我就逐步的检查看那里出了错误,仿真没错的话那肯定是接线的错误,所以经过我仔细检查后,最终花了一下午的时间把它给接好。

555芯片在仿真图里面是没有的,所以需要重新制作,但经过多次试验,发现555可用脉冲信号代替。

第四天我们开始叫老师检查,灯的花絮确实像昨天仿真的那样按次序亮,经老师检查无误并记录我们的名字后我们这一组的课程设计就算圆满成功了。

七故障分析与电路改进本次课程设计我只用了一个星期的时间就全部做完,效率很高,因为在设计之前根据设计的要求,每个模块都仔细的设计分析了,正是整个过程我都认真的态度和方案选择合适,才有这么高的效率。

而且从本次课程设计中收获很多。

可以总结为以下的几点:(一)、巩固数电知识这次课程设计主要是运用数字电路逻辑设计的一些相关知识,在整个实习过程中,都离不开对数字电路课程知识的再学习。

我在最开始,就先将实习用到的知识通过翻阅数电书回顾了一遍(这也是对这门课的复习,给以后的复习备考减少了很多负担),这样的回顾让我对知识的理解更加透彻,对后来的快速设计起了很好的铺垫作用。

相关文档
最新文档