实验七移位寄存器及其应用
实验七 移位寄存器
实验七寄存器移位寄存器一、实验目的1掌握常用寄存器、移位寄存器的使用方法。
2掌握中规模移位寄存器的应用。
二、实验设备和元器件1SAC-2电工电子实验台;SS-01数字实验模块。
2DL-4330示波器;EM-1463函数信号发生器。
374LS37374LS16474LS59574LS0074LS2074LS86三、实验原理寄存器(Register)和移位寄存器(ShiftRegister)1、寄存器(Register):在数字系统中,常需要一些数码暂时存放起来,这种暂时存放数码。
一个触发器可以寄存1位二进制数码,要寄存几位数码,就应具备几个触发器,此外,寄存器还应具有由门电路构成的控制电路,以保证信号的接收和清除。
移位寄存器2、移位寄存器除了具有寄存数码的功能外,还具有移位功能,即在移位脉冲作用下,能够把寄存器中的数依次向右或向左移。
它是一个同步时序逻辑电路,根据移位方向,常把它分成左移寄存器、右移寄存器和双向移位寄存器三种;根据移位数据的输入-输出方式,又可将它分为串行输入-串行输出、串行输入-并行输出、并行输入-串行输出和并行输入-并行输出四种电路结构。
如图所示由D触发器构成的简单移位寄存器,从CP上升沿开始到输出新状态的建立需要经过一段传输延迟时间,所以当CP上升沿同时作用于所有触发器时,它们输入端的状态都未改变。
于是,FF0按DI原来的状态翻转,FF1按Q0原来的状态翻转,FF2按Q1原来的状态翻转,FF3按Q2原来的状态翻转,同时,输入端的代码存入F0,总的效果是寄存器的代码依次右移一位。
可见,经过4个CP信号后,串行输入的四位代码全部移入了移位寄存器,并在四个输出端得到并行输出代码。
利用移位寄存器可实现代码的串行—并行转换。
若再加4行个CP信号,寄存器中的四位代码还可以从串端依次输出。
四、实验步骤1、74ls373逻辑功能测试(1)74ls373引脚说明74ls373为三态输出的八D透明锁存器,共有54S373和74LS373两种形式。
移位寄存器实验报告结果
一、实验目的本次实验的主要目的是通过搭建移位寄存器实验电路,验证移位寄存器的逻辑功能,并了解其在数字系统中的应用。
实验内容包括:移位寄存器的基本原理、实验电路搭建、实验现象观察和结果分析。
二、实验原理移位寄存器是一种具有移位功能的寄存器,它可以实现数据的串行输入和串行输出。
在时钟脉冲的作用下,移位寄存器中的数据可以依次左移或右移。
根据移位寄存器存取信息的方式不同,可分为串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的是4位双向通用移位寄存器,型号为74LS194或CC40194。
74LS194具有5种不同操作模式:即并行送数寄存、右移、左移、保持及清零。
其逻辑符号及引脚排列如图1所示。
图1 74LS194的逻辑符号及其引脚排列三、实验电路搭建1. 电路元件准备:74LS194芯片、电阻、电容、二极管、连接线等。
2. 电路搭建:按照图1所示,将74LS194芯片的引脚与电阻、电容、二极管等元件连接,形成移位寄存器实验电路。
3. 电源连接:将电源正负极分别连接到电路板上的VCC和GND端。
四、实验现象观察1. 实验现象一:串行输入,并行输出。
(1)将74LS194的SR端接地,SL端接高电平,S1、S0端接高电平,CR端接地。
(2)使用串行输入端输入数据,观察并行输出端的数据变化。
(3)实验现象:当输入串行数据时,并行输出端依次输出对应的数据。
2. 实验现象二:并行输入,串行输出。
(1)将74LS194的SR端接地,SL端接高电平,S1、S0端接低电平,CR端接地。
(2)使用并行输入端输入数据,观察串行输出端的数据变化。
(3)实验现象:当输入并行数据时,串行输出端依次输出对应的数据。
3. 实验现象三:左移、右移操作。
(1)将74LS194的SR端接地,SL端接高电平,S1、S0端分别接高电平和低电平,CR端接地。
(2)观察移位寄存器中的数据在时钟脉冲的作用下左移或右移。
(3)实验现象:在时钟脉冲的作用下,移位寄存器中的数据依次左移或右移。
移位寄存器实验报告
移位寄存器实验报告移位寄存器和计数器的设计实验室:实验台号:日期:专业班级:姓名:学号:一、实验目的1. 了解二进制加法计数器的工作过程。
2. 掌握任意进制计数器的设计方法。
二、实验内容(一)用D触发器设计左移移位寄存器(二)利用74LS161和74LS00设计实现任意进制的计数器设计要求:以实验台号的个位数作为所设计的任意进制计数器(0、1、2任选)。
三、实验原理图1.由4个D触发器改成的4位异步二进制加法计数器(输入二进制:11110000)2.测试74LS161的功能3.熟悉用74LS161设计十进制计数器的方法。
①利用置位端实现十进制计数器。
②利用复位端实现十进制计数器。
四、实验结果及数据处理1.左移寄存器实验数据记录表要求:输入二进制:111100002.画出你所设计的任意进制计数器的线路图(计数器从零开始计数),并简述设计思路。
8进制利用复位法实现8进制计数器,8=1000B,将A端同与非门相连,当A端=1时,使复位端获得信号,复位,从而实现8进制。
五、思考题1. 74LS161是同步还是异步,加法还是减法计数器?答:在上图电路中74LS161是异步加法计数器。
2. 设计十进制计数器时将如何去掉后6个计数状态的?答:通过置位端实现时,将Q0、Q3 接到与非门上,输出连接到置位控制端。
当Q3=1,Q2=0,Q1=0,Q0=1,即十进制为9时,与非门输入端Q0、Q3同时为高电平,位控制端为低电位,等到下一个CP上升沿到来时,完成置数,全部置为0。
3. 谈谈电子实验的心得体会,希望同学们提出宝贵意见。
答:通过这学期的电子实验,我对电子电路有了更加深入地了解。
初步了解了触发器、寄存器、计数器等电子元件的使用。
将理论与实践相结合,更加深入的了解了电子技术,学到了很多,对这学期的电子实验十分满意。
实验七 移位寄存器及其应用
实验七移位寄存器及其应用一、实验目的1.移位寄存器74LS194的逻辑功能及使用方法;2.熟悉4位移位寄存器的应用。
二、实验预习要求1.了解74LS194的逻辑功能;2.用4位移位寄存器构成8位移位寄存器;3.了解移位寄存器构成环形计数器的方法。
三、实验原理1.移位寄存器是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
74 LS194是一个4位双向移位寄存器, 最高时钟脉冲为36MHz, 其逻辑符号及引脚排列如如图7.1所示。
图实验7.1 74 LS194逻辑符号及引脚排列其中: D0~D1为并行输入端;Q0~Q3为并行输出端;SR-右移串引输入端;SL-左移串引输入端;S1.S0-操作模式控制端;/CR-为直接无条件清零端;CP-为时钟脉冲输入端。
74LS194模式控制及状态输出如表实验7.1所示。
2.用74LS194构成8位移位寄存器电路如实验7.2所示, 将芯片(1)的Q3接至芯片(2)的SR,将芯片(2)的Q4接至(1)的SL, 即可构成8位的移位寄存器。
注意: /CR端必须正确连接。
3.74LS194构成环形计数器把位移寄存器的输出反馈到它的串行输入端, 就可以进行循环移位, 如图实验7.3所示。
设初态为Q3Q2Q1Q0=1000,则在CP作用下, 模式设为右移, 输出状态依次为:表实验7.1 74LS194工作状态表图实验7.2 8位移位寄存器图实验7..3 环形计数器四、实验仪器设备1.TPE-AD数字实验箱 1台2.四位双向移位寄存器74LS194 2片3.四两输入集成与非门74LS00 1片五、实验内容及方法1.测试74LS194(或CC40194)的逻辑功能参图实验7.1接线, /CR 、S1.S0、SL、SR、D3.D2.D1.D0分别接逻辑电平开关输出插孔;Q3Q2Q1Q0用LED电平显示, CP接单脉冲源输出插孔。
按表实验7.1进行逐项对比测试。
(1)清零: 令=0, 此时Q3Q2Q1Q0=0000。
移位寄存器实验报告
移位寄存器实验报告姓名:陈素学号:3120100621 专业:软件工程课程名称:逻辑与计算机设计基础实验同组学生姓名:张闻实验时间:y yyy-mm-dd 实验地点:紫金港东4-509 指导老师:一、实验目的和要求掌握移位寄存器的工作原理及设计方法掌握串、并数据转换的概念与方法了解序列信号在CPU控制器设计中的应用二、实验内容和原理2.1 实验原理带并行置入的移位寄存器移位寄存器:每来一个时钟脉冲,寄存器中的数据按顺序向左或向右移动一位必须采用主从触发器或边沿触发器不能采用电平触发器数据移动方式:左移、右移数据输入输出方式串行输入,串行输出串行输入,并行输出并行输入,串行输出串行输入的移位寄存器使用D触发器,可构成串行输入的移位寄存器2.2 标题<正文>带并行输入的右移移位寄存器数据输入移位寄存器的方式:串行输入、并行输入带并行输入的8位右移移位寄存器module shift_reg(clk, S, s_in, p_in, Q); input wire clk, S, s_in; input wire [7:0] p_in; output wire [7:0] Q; wire [7:0] D; wire nS;FD FDQ0(.C(clk), .D(D[0]), .Q(Q[0])), FDQ1(.C(clk), .D(D[1]), .Q(Q[1])), FDQ2(.C(clk), .D(D[2]), .Q(Q[2])), FDQ3(.C(clk), .D(D[3]), .Q(Q[3])), FDQ4(.C(clk), .D(D[4]), .Q(Q[4])), FDQ5(.C(clk), .D(D[5]), .Q(Q[5])), FDQ6(.C(clk), .D(D[6]), .Q(Q[6])), FDQ7(.C(clk), .D(D[7]), .Q(Q[7]));OR2 D0_L(.I0(L_0), .I1(R_0), .O(D[0])), D1_L(.I0(L_1), .I1(R_1), .O(D[1])), D2_L(.I0(L_2), .I1(R_2), .O(D[2])), D3_L(.I0(L_3), .I1(R_3), .O(D[3])), D4_L(.I0(L_4), .I1(R_4), .O(D[4])),串行输入SD5_L(.I0(L_5), .I1(R_5), .O(D[5])), D6_L(.I0(L_6), .I1(R_6), .O(D[6])), D7_L(.I0(L_7), .I1(R_7), .O(D[7]));并行-串行转换器 没有启动命令时并行-串行转换器ser_out并行输入par_in 移位输入7位并行-串行转换器ser_out并行输入par_in 移位输入7位并行-串行转换器2.1 实验内容用Verilog HDL语言,采用结构化描述方法设计一个8位带并行输入的右移移位寄存器。
实验七 移位寄存器及其应用
在具体独立应用方面,移位寄存器不单可做成可编程的分频器、串行 加法器、串行累加器和序列号发生器(见书上P229),而且还可以用来 构成计数器,这是工程中经常用到的。以74LS194双向移位寄存器为 例,74LS194可构成环形计数器、扭环形计数器和自启动的扭环形计数 器。 五、实验的步骤 ㈠ 集成移位寄存器基本功能验证。
将74LS194插入实验箱中,并按图7-2进行接线。接线完毕后,接通 电源,即可进行74LS194双向移位寄存器的功能验证。 ① 清零。将复位开关K3置0,使=0,通过观察LED灯的亮、灭情况, 记录有关实验数据。 =0时,74LS194输出为:Q0Q1Q2Q3= 。 ② 保持。使=1,CP=0,拨动逻辑开关K1和K2,输出状态不变。或者 使=1,M1和M0都为0(即K1和K2都为0),按动单次脉冲,这时输出状 态仍不变。 ③ 置数。使=1,M1=M0=1,数据开关置为0101,按动单次脉冲,这时 数据0101存入Q0Q1Q2Q3中。根据LED发光二极管的状态,记录 Q0Q1Q2Q3= ;变换数据开关的输出为1011,再按动单次脉冲,根 据LED发光二极管的状态,记录Q0Q1Q2Q3= 。
保1 × × 0
持1 0 0 × × × × × × ×
保持
置 1 1 1 ↑ × × d0 d1 d2 d3 d0 d1 d2 d3 数
பைடு நூலகம்
右1 0 1 ↑ × 1
1
移1 0 1 ↑
×
××××
0
0
左1 1 0 ↑ 1 ×
1
移1 1 0 ↑
移位寄存器
n n n Q0 Q1 Qn Q3 2
现代电子技术实验
移位寄存器的扩展
实验目的
实验原理
74LS194(1) 74LS194(1)
实验内容
74LS194(2) ( )
注意事项
串行输入
构成八位右移串行移位寄存器
现代电子技术实验
2、环形计数器(又称循环移位寄存器) 、 又称循环移位寄存器)
把移位寄存器的串行输入端与输出端相连可以 构成环形计数器。 相连, 构成环形计数器。例:DSR和Q3相连,可构成右移环 形计数器。 形计数器
0 0 0 1 0
现代电子技术实验
有效 状态
0 1 74X194
该电路不能自启动
现代电子技术实验
首先应给输出端赋初值,此时 首先应给输出端赋初值,此时S1 S0为11。 。 再将S 改为01,使之工作在右移状态。 再将 1 S0改为 ,使之工作在右移状态。
实验目的
CP 1
1
Q0 1 0 0 0 1
Qn Q3 QQ 2 n
n 0
n 1
0 X X 1 1 1 1 1 1
X X
X X X d0 X
X X ↑ a
X X X b c
0
0 b
n 0
0 c
n 1
0 d
n
d a
0 1 d0 1 0 0 0 X X
↑ X ↑ X X X
X X X d0 X X X X X X
Q Q Q2 d0
Qn Q3 Q1 2 n
现代电子技术实验
用双踪示波器观测波形时应选择恰当的触发源。 用双踪示波器观测波形时应选择恰当的触发源。
y1
y2 若选用y 若选用 2 做触发源 示波器上显 示的y 示的 2波形
实验七---移位寄存器及其应用
集成移位寄存器74LS194功能表:
附:74LS194引脚图
四、实验内容
1、测试四位双向移位寄存器74LS194的逻 辑功能:(测试数据记录表5中)
(1)清除功能 (2)送数功能 (3)右移、左移功能 (4)保持功能 注:CR、S1、S0、SL、SD以及D0-D7分别
接数据开关,CP接逻辑开关,Q0-Q7接发 光二极管显示器。
2、根据实验内容2的结果,画出4 位 环形计数器的状态转换图及波形图。
3、分析串/并行、并/串行转换器所 得结果的正确性。
实验七、移位 寄存器
一、实验目的
1、掌握中规模4位双向移位寄存 器的逻辑功能及使用方法。
2、掌握移位寄存器的典型应用。 3、熟悉移位寄存器的调试方法。
二、实验设备
1、电子技术实验箱
一台
2、数字示波器
一台
3、数字万用表
一块
4、芯片:74LS194*2、74LS00
三、理论准备
移位寄存器是一种由触发器链 型连接的同步时序网络 ,每个 触发器的输出连到下一级触发 器的控制输入端,在时钟脉冲 作用下,存贮在移位寄存器中 的信息逐位左移或右移。
2、环形计数器:自拟实验电路及数据 记录表格。
3、实现数据的串/并转换:按图3、图 4连接电路,输入数码自定,自拟记录 表格。
注:串行输入/并行输出及并行输入/ 串行输出转换电路中只做右移部分; 改接电路,用左移方式的内容放在实 验报告中完成(画出电路图)
波形图:
五、实验报告要求
ห้องสมุดไป่ตู้、分析表5的实验结果,总结移位寄 存器的逻辑功能,并写入表格总结功 能一栏中。
电路中的移位寄存器与计数器的原理与应用
电路中的移位寄存器与计数器的原理与应用在现代科技中,电路是一个不可或缺的组成部分。
电路可以用于各种领域,其中移位寄存器和计数器是最为常见且重要的电路之一。
本文将深入探讨这两种电路的原理与应用。
一、移位寄存器的原理与应用移位寄存器是一种能够将输入数据连续地移位、保留并输出的电路。
其原理主要基于逻辑门电路的组合与连接。
1. 原理移位寄存器通常由多个触发器构成,触发器是一种能够存储一个二进制位的设备。
当输入数据进入移位寄存器时,触发器会按照一定的时序规律将数据进行移位,并输出。
移位寄存器可以实现向左(左移)或向右(右移)移动数据的功能。
2. 应用移位寄存器在数字系统中有广泛的应用。
例如,在串行通信中,移位寄存器可以将并行数据转化为串行数据进行传输;在移位加法器中,移位寄存器可以实现两个二进制数的相加;在移位寄存器阵列中,移位寄存器可以用于存储、处理和传输图像等。
二、计数器的原理与应用计数器是一种能够将输入的时钟信号进行计数并输出的电路。
计数器能够记录输入信号的数量,并根据设定的计数规则输出对应的结果。
1. 原理计数器通常由触发器和逻辑门电路构成。
当计数器接收到时钟信号时,触发器会根据时钟信号的上升沿或下降沿进行状态变换,从而实现计数功能。
计数器可以分为二进制计数器、十进制计数器等,根据不同的计数规则可以实现不同的计数功能。
2. 应用计数器在数字电路中有广泛的应用。
例如,在计算机中,计数器可以用于指示程序执行的步骤;在测量仪器中,计数器可以用于计算输入信号的频率或脉冲个数;在定时器中,计数器可以实现定时功能等。
综上所述,移位寄存器和计数器都是数字电路中重要的组成部分。
移位寄存器可以将输入数据按照一定的规律移位输出,广泛应用于数字系统中;计数器则可以根据输入的时钟信号进行计数输出,实现不同的计数功能。
这两种电路的原理与应用相互关联且互相补充,为数字电路的设计与实现提供了强大的工具与方法。
总之,了解移位寄存器和计数器的原理与应用对于理解和应用数字电路至关重要。
EDA实验报告 触发器及应用及移位寄存器
EDA 实验报告实验目的:1.触发器的工作原理。
2.基本时序电路的VHDL 代码编写。
3.按键消抖电路应用。
4.定制LPM 原件。
5.VHDL 语言中元件例化的使用。
6.移位寄存器的工作原理及应用。
实验要求:1.运用LPM 原件定制DFF 触发器,并调用LPM 定制的DFF 触发器,用VHDL 语言的元件例化实现消抖电路并了解其工作原理。
2. 移位寄存器是用来寄存二进制数字信息且能进行信息移位的时序逻辑电路。
根据移位寄存器存取信息的方式不同可分为串入串出、串入并出、并入串出、并入并出4种形式,并通过数码管显示出来。
实验原理:1.消抖电路由于一般的脉冲按键与电平按键采用机械开关结构,其核心部件为弹性金属簧片。
按键信号在开关拨片与触点接触后经多次弹跳才会稳定。
本实验采用消抖电路消除抖动以获得一个稳定的电平信号。
2.移位寄存器移位寄存器具有左移、右移、并行输入数据、保持及异步清零5种功能。
其中A 、B 、C 、D 为并行输入端,A Q 、B Q 、C Q 、D Q 为并行输出端;SRSI 为右移串行输入端,SLSI 为左移串行输入端;S1、S0为模式控制端;CLRN 为异步清零端;CLK 为时钟脉冲输入端。
实验具体步骤:1.消抖电路(1).用lpm 定制DFF<1>.设置lpm_ff 选择Installed Plug-Ins →Storage →lpm_ff 项;<2>.设置输入data 为1位,clock 为时钟信号,类型为D 型;<3>.添加异步清零和异步置1;其VHDL 语言为:LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY lpm;USE lpm.all;ENTITY mydff ISPORT(clock : IN STD_LOGIC ;data : IN STD_LOGIC ;q : OUT STD_LOGIC);END mydff;ARCHITECTURE SYN OF mydff ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (0 DOWNTO 0);SIGNAL sub_wire1 : STD_LOGIC ;SIGNAL sub_wire2 : STD_LOGIC ;SIGNAL sub_wire3 : STD_LOGIC_VECTOR (0 DOWNTO 0);COMPONENT lpm_ffGENERIC (lpm_fftype : STRING;lpm_type : STRING;lpm_width : NATURAL);PORT (clock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);data : IN STD_LOGIC_VECTOR (0 DOWNTO 0) );END COMPONENT;BEGINsub_wire1 <= sub_wire0(0);q <= sub_wire1;sub_wire2 <= data;sub_wire3(0) <= sub_wire2;lpm_ff_component : lpm_ffGENERIC MAP (lpm_fftype => "DFF",lpm_type => "LPM_FF",lpm_width => 1)PORT MAP (clock => clock,data => sub_wire3,q => sub_wire0);END SYN;(2).VHDL结构式描述顶层--Top level entity xiaodoulibrary ieee;use ieee.std_logic_1164.all;entity xiaodou isport( d_in,clk:in std_logic;clk_out:out std_logic);end xiaodou;architecture xiaodou_arch of xiaodou is component mydff is --元件例化PORT(clock : IN STD_LOGIC ;data : IN STD_LOGIC ;q : OUT STD_LOGIC);END component;signal x,y:std_logic;begindff1:mydff port map(clock=>clk,data=>d_in,q=>x); dff2:mydff port map(clk,x,y);clk_out<=x and (not y);end xiaodou_arch;(3).功能仿真波形:2.移位寄存器(1).74194功能验证电路(2).74194功能仿真结果仿真分析:clrn=1,clk上升时,s为11,移位寄存器并行置数,此时abcd=1010,q_abcd=1010;clrn=0,移位寄存器进行清零,此时有q_abcd=0000;clrn=1,clk上升时,s为01,sl_sr为01,移位寄存器串行右移补1,输出q_abcd=1000;clrn=1,clk上升时,s为01,sl_sr为10,移位寄存器串行右移补0,输出q_abcd=0100;clrn=1,clk上升时,s为10,sl_sr为10,移位寄存器串行左移补1,输出q_abcd=1001;clrn=1,clk上升时,s为10,sl_sr为01,移位寄存器串行左移补0,输出q_abcd=0010。
移位寄存器及其应用
实训八移位寄存器及其应用一、实训目的1.掌握移位寄存器74LS194的逻辑功能及其测试方法;2.熟悉移位寄存型的典型应用电路。
二、实训内容1.移位寄存器74LS194的功能测试;2.74LS194构成8位数的序列信号发生器。
三、实训主要元件1.74LS194(4位双向移位寄存器)外引线排列图(详细资料见后附表)四、实训原理、步骤及要求(一)原理:1.74LS194(4位双向移位寄存器)74LS194(4位双向移位寄存器)是一种功能很强的通用寄存器,其逻辑功能如附表所示。
从功能表中可见,它具有并行输入、并行输出、左移和右移及保持等功能。
这些功能均通过模式控制端M0、M1来确定。
当M0=M1=0时,寄存器处于保持状态;当M0=M1=1时,寄存器处于并行输入并行输出功能,即在CP上升沿作用下,加到并行数据输入(D0~D3)的数据被送到Q0~Q3;当M0=0、M1=1时,寄存器处于左移操作(Q3向Q0方向),数据从左移串行数据输入(D SL)送入;当M0=1、M1=0时,寄存器处于右移操作(Q0向Q3方向),数据从右移串行数据输入(D SR)送入。
(二)实训步骤及要求1.74LS194(4位双向移位寄存器)的功能测试表1 74LS194功能表将CP端接逻辑开关,其它需要设置和改变状态的端,可通过临时改变电位(接+5V电源为高电平,接地为低电平)来实现不同状态,Q0~Q3输出端接(LED管)。
先设定有确定取值的输入端的状态,然后,送入时钟脉冲,观察LED管状态,确定Q0~Q3输出端的状态。
通过Q0~Q3输出端的现态与次态或输入端D0~D3、D SR、D SL的关系,从而确定寄存器实现的逻辑功能。
2.74LS194构成8位数的序列信号发生器(1)利用74LS194循环寄存的功能,再通过特定的反馈电路,将一个反馈信号送到串行输入端,则可实现特定的序列信号。
序列信号的长度和数值与移位寄存器的位数及反馈信号的逻辑功能值有关。
移位寄存器及其应用
实验移位寄存器及其应用一、实验目的1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。
2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。
二、实验原理1、寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下一次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
2、本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图所示。
其中D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串行输入端,S L为左移串行输入端;S1、S0为操作模式控制端;C R为直接无条件清零端;CP为时钟脉冲输入端。
功能见表8-1。
表8-1CC40194功能表功能输入输出CP R C S1S0S R S L D0D1D2D3Q0Q1Q2Q3清除×0××××××××0000送数↑111××a b c d a b c d右移↑101D SR×××××D SR Q0Q1Q2左移↑110×D SL××××Q1Q2Q3D SL保持↑100××××××Q0n Q1n Q2n Q3n保持↓1××××××××Q0n Q1n Q2n Q3n3、移位寄存器的应用可构成移位寄存器形计数器;:顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据等。
移位寄存器 实验报告
(一)用D触发器设计左移移位寄存器
(二)利用74LS161和74LS00设计实现任意进制的计数器
设计要求:
以实验台号的个位数作为所设计的任意进制计数器(0、1、2任选)。
三、实验原理图
1.由4个D触发器改成的4位异步二进制加法计数器
(输入二进制:11110000)
2.测试74LS161的功能
3.谈谈电子实验的心得体会,希望同学们提出宝贵意见。
答:通过这学期的电子实验,我对电子电路有了更加深入地了解。初步了解了触发器、寄存器、计数器等电子元件的使用。将理论与实践相结合,更加深入的了解了电子技术,学到了很多,对这学期的电子实验十分满意。
五、思考题
1. 74LS161是同步还是异步,加法还是减法计数器?
答:在上图电路中74LS161是异步加法计数器。
2.设计十进制计数器时将如何去掉后6个计数状态的?
答:通过置位端实现时,将Q0、Q3接到与非门上,输出连接到置位控制端。当Q3=1,Q2=0,Q1=0,Q0=1,即十进制为9时,与非门输入端Q0、Q3同时为高电平,位控制端为低电位,等到下一个CP上升沿到来时,完成置数,全部置为0。
输入端不计数共阴极艮惶注再现前十进制计饕器并简述移位脉冲的次数移位寄存器状态进制利用复位法实现8进制计数器81000b将a端同与非门相连当使复位端获得信号复位从而实现进制
实验四:移位寄存器和计数器的设计
实验室:实验台号:日期:
专业班级:姓名:学号:
一、实验目的
1.了解二进制加法计数器的工作过程。
2.掌握任意进制计数器的设计方法。
输入端
输出
Qn
时钟
清零
置数
P
T
X
0
7 移位寄存器及其应用
实验七 移位寄存器及其应用一、实验目的:1.掌握中规模4位双向移位寄存器的逻辑功能及使用方法。
2.熟悉移位寄存器的应用——实现数据的串/并转换、构成环形计数器和扭环型计数器。
二、实验原理:寄存器是计算机和其它数字系统中用来存储代码或数据的逻辑部件。
它的主要组成部分是触发器。
一个触发器能存储1位二进制代码,所以要存储n 位二进制代码的寄存器就需要用n 个触发器组成。
把若干个触发器串接起来,就可以构成一个移位寄存器。
移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器在存取信息时的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向通用移位寄存器,型号为74LS194,其引脚排列如图7-1所示。
集成移位寄存器74LS194由4个RS 触发器及它们的输入控制电路组成。
0D ~3D 为并行输入端,0Q ~3Q 为并行输出端,SR D 为右移串行输入端,SL D 为左移串行输入端,0S 、1S 为操作模式控制端,CR 是直接置“0”端,CP 为时钟脉冲输入端。
V CCQ 0Q 1Q 2Q 3CPS 1S 0图7-1 74LS194引脚排列图 表7-1 74LS194逻辑功能真值表1.环形计数器环形计数器具有如下特点:⑴ 进位模数与移位寄存器触发器数相等; ⑵ 结构上其反馈函数n n Q Q Q Q f =)(21 。
图7-2是用74LS194构成的四位环形计数器及其状态迁移图。
如起始态为10003210=Q Q Q Q ,其状态迁移为1000 → 0100 → 0010 → 0001,但存在无效循环和死态(如0000和1111),即无自校正功能。
图7-2 四位环形计数器2.扭环形计数器扭环形计数器(又称为约翰逊计数器)具有如下特点: ⑴ 进位模为移位寄存器触发器级数n 的二倍,即为2n ; ⑵ 电路结构上其反馈函数n n Q Q Q Q f =)(21 ; ⑶ 相邻两个态仅有一位代码不同。
电子科技大学电子技术应用实验74LS194
移位寄存器是指所存的代码能在移位脉冲 的作用下依次位移的寄存器,它是一种可以用 二进制形式保存数据的双稳器件。即能左移又 能右移的寄存器称为双向移位寄存器。
现代电子技术实验
实验目的
实验原理 实验内容
74LS194
注意事项
逻辑符号
引脚图
移位寄存器存取信息的方式有:串入串出、串入并 出、并入串出、并入并出四种形式。
现代电子技术实验
移位寄存器及其应用研究
实验目的 实验原理 实验内容 注意事项
现代电子技术实验
一、实验目的
实验目的 实验原理 实验内容 注意事项
1、掌握4位双向移位寄存器的逻辑功 能及使用方法。
2、熟悉移位寄存器的应用—构成环形 计数器。
现代电子技术实验
实验目的 实验原理 实验内容 注意事项
二、实验原理
输出
Q Q Q Q Cr S1 S0 DSR DSL CP D0 D1 D2 D3
nn 01
nn 23
0 X X X X XX X X X 0 0 0 0
送数 1 1 1 X X ↑ a b c d a b c d
右移 左移
1 1
0 1 d0 10 X
X d0
↑X ↑X
X X
X X
X X
Q Q d0
74X194
现代电子技术实验
4、用双踪示波器观测波形时应选择恰当的触发源。
y1
level
y2
若选用y1 做触发源 示波器上 显示的y2
波形
现代电子技术实验
用双踪示波器观测波形时应选择恰当的触发源。
y1
y2 若选用y2 做触发源 示波器上显 示的y2波形
数字电路实验报告-移位寄存器及其应用
电学实验报告模板实验原理移位寄存器是逻辑电路中的一种重要逻辑部件,它能存储数据,还可以用来实现数据的串行-并行转换、数据的运算和处理。
1.寄存器(1)D触发器图1 D触发器图1所示D触发器。
每来一个CLK脉冲,触发器都在该CLK脉冲的上升沿时刻,接收输入数据D,使之作为触发器的新状态。
D触发器的特性方程为(2)用D触发器构成并行寄存器图2 用D触发器构成并行寄存器图2所示为用D触发器构成四位并行寄存器。
为异步清零控制端,高电平有效。
当时,各触发器输出端Q的状态,取决于CLK上升沿时刻的D端状态。
2.移位寄存器(1)用D触发器构成移位寄存器图3 用D触发器构成4位串行移位寄存器图3所示为用D触发器构成的4位串行移位寄存器。
其中左边第一个触发器的输入端接收输入数据,其余的每一个触发器的输入端均与左边相邻的触发器的Q端连接。
当时钟信号CLK的上升沿时刻,各触发器同时接收输入数据。
四位寄存器的所存数据右移一位。
(2)双向移位寄存器74LS194图4 双向移位寄存器74LS194逻辑框图图4 所示为集成电路芯片双向移位寄存器74LS194逻辑框图。
为便于扩展逻辑功能,在基本移位寄存器的基础上增加了左右移控制、并行输入、保持和异步清零等功能。
74LS194的逻辑功能如表1所列。
表13.用移位寄存器构成计数器(1)环形计数器图5 环形计数器如果将移位寄存器的串行移位输出端接回到串行移位输入端,如图5所示。
那么,在时钟CLK的作用下,寄存器里的数据将不断循环右移。
例如,电路的初始状态为,则电路的状态转换图如图6所示。
可以认为,这是一个模4计数器。
图6 环形计数器状态转换图实验内容及步骤1. 用两片74LS74构成四位移位寄存器(1)74LS74引脚图图10 74LS74引脚图(2)用74LS74构成四位移位寄存器图11 用74LS74构成四位移位寄存器实验电路按照图11连接电路。
首先设置,使寄存器清零。
然后,设置,在CLK输入端输入单次脉冲信号当作时钟信号,通过输出端的发光二极管观察的状态,判断移位的效果。
移位寄存器实验报告doc
移位寄存器实验报告篇一:移位寄存器实验报告移位寄存器实验报告(一)实验原理移位寄存器是用来寄存二进制数字信息并且能进行信息移位的时序逻辑电路。
根据移位寄存器存取信息的方式可分为串入串出、串入并出、并入串出、并入并出4种形式。
74194是一种典型的中规模集成移位寄存器,由4个RS触发器和一些门电路构成的4位双向移位寄存器。
该移位寄存器有左移,右移、并行输入数据,保持及异步清零等5种功能。
有如下功能表(三)实验内容1. 按如下电路图连接电路十个输入端,四个输出端,主体为74194. 2. 波形图参数设置:End time:2usGrid size:100ns 波形说明:clk:时钟信号;clrn:置0 s1s0:模式控制端 sl_r:串行输入端 abcd:并行输入 qabcd:并行输出结论:clrn优先级最高,且低有效高无效;s1s0模式控制,01右移,10左移,00保持,11置数重载;sl_r控制左移之后空位补0或补1。
3. 数码管显示移位(1)电路图(2)下载验证管脚分配:a,b,c,d:86,87,88,89 bsg[3..0]:99,100,101,102 clk:122 clk0:125 clrn:95 q[6..0]:51,49,48,47,46,44,43 s0,s1:73,72 sl_r:82,83 结论:下载结果与仿真结果一致,下载正确。
一、实验日志1.移位寄存器的实验真的挺纠结的,本来想用7449的,但是下载结果出现了错误,想到它在这个电路图中的功能比较单一,就自己写了一个my7449,终于对了。
五、思考题(1)简单说明移位寄存器的概念及应用情况?概念:移位寄存器是用来寄存二进制数字信息且能进行信息移动的时序逻辑电路。
根据移位寄存器存取信息的方式不同可以分为串入串出,串入并出,并入串出,并入并处4种形式。
应用:移位寄存器可以构成计数器,顺序脉冲发生器,串行累加器,串并转换,并串转换等。
实验七移位寄存器及其应用讲解
实验七移位寄存器及其应用一、实验目的1. 掌握中规模4位双向移位寄存器逻辑功能及使用方法。
2. 熟悉移位寄存器的应用——环形计数器。
二、实验原理1. 移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又有右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图1所示。
图 1 74LS194的逻辑符号及其引脚排列其中D3、D2、D1、D为并行输入端,Q3、Q2、Q1、Q为并行输出端;SR为右移串行输入端,SL 为左移串行输入端,S1、S为操作模式控制端;CR为直接无条件清零端;CP为时钟脉冲输入端。
74LS194有5种不同操作模式:即并行送数寄存,右移(方向由Q3→Q0),左移(方向由Q→Q3),保持及清零。
S1、S和CR端的控制作用如表1所示。
表12.移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。
本实验研究移位寄存器用作环形计致器和串行累加器的线路及其原理。
(1) 环形计数器:把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图2所示,把输出端Q0和右移串行输入端SR相连接,设初始状态Q3Q2Q1Q=1000,则在时钟脉冲作用下Q3Q2Q1Q将依次变为0100→0010→0001→1000→……,可见它是具有四个有效状态的计数器,这种类型的计效器通常称为环形计数器。
图2电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。
图 2(2)串行累加器(了解内容)累加器是由移位寄存器和全加器组成的一种求和电路,它的功能是将本身寄存的数和另一个输入的数相加,并存放在累加器中。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验七移位寄存器及其应用
一、实验目的
1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。
2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。
二、实验原理
1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。
既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。
根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。
本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图7-1所示。
图7-1 CC40194的逻辑符号及引脚功能
其中D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串
C为直接无条件清零端;行输入端,S L为左移串行输入端;S1、S0为操作模式控制端;R
CP为时钟脉冲输入端。
CC40194有5种不同操作模式:即并行送数寄存,右移(方向由Q0→Q3),左移(方向由Q3→Q0),保持及清零。
S1、S0和R C端的控制作用如表7-1。
2、移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。
本实验研究移位寄存器用作环形计数器和数据的串、并行转换。
(1)环形计数器
把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,
如图7-2所示,把输出端Q3和右移串行输入端S R 相连接,设初始状态Q0Q1Q2Q3=1000,则在时钟脉冲作用下Q0Q1Q2Q3将依次变为0100→0010→0001→1000→……,如表7-2所示,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。
图7-2 电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。
图7-2 环形计数器
如果将输出Q O与左移串行输入端S L相连接,即可达左移循环移位。
(2)实现数据串、并行转换
①串行/并行转换器
串行/并行转换是指串行输入的数码,经转换电路之后变换成并行输出。
图7-3是用二片CC40194(74LS194)四位双向移位寄存器组成的七位串/并行数据转换电路。
图7-3 七位串行/ 并行转换器
电路中S0端接高电平1,S1受Q7控制,二片寄存器连接成串行输入右移工作模式。
Q7是转换结束标志。
当Q7=1时,S1为0,使之成为S1S0=01的串入右移工作方式,当Q7=0时,S1=1,有S1S0=10,则串行送数结束,标志着串行输入的数据已转换成并行输出了。
串行/并行转换的具体过程如下:
转换前,R C端加低电平,使1、2两片寄存器的内容清0,此时S1S0=11,寄存器执行并行输入工作方式。
当第一个CP脉冲到来后,寄存器的输出状态Q0~Q7为01111111,与此同时S1S0变为01,转换电路变为执行串入右移工作方式,串行输入数据由1片的S R端加入。
随着CP脉冲的依次加入,输出状态的变化可列成表7-3所示。
表7-3
由表7-3可见,右移操作七次之后,Q7变为0,S1S0又变为11,说明串行输入结束。
这时,串行输入的数码已经转换成了并行输出了。
当再来一个CP脉冲时,电路又重新执行一次并行输入,为第二组串行数码转换作好了准备。
②并行/串行转换器
并行/串行转换器是指并行输入的数码经转换电路之后,换成串行输出。
图7-4是用两片CC40194(74LS194)组成的七位并行/串行转换电路,它比图7-3多了两只与非门G1和G2,电路工作方式同样为右移。
图7-4 七位并行/ 串行转换器
寄存器清“0”后,加一个转换起动信号(负脉冲或低电平)。
此时,由于方式控制S1S0为11,转换电路执行并行输入操作。
当第一个CP脉冲到来后,Q0Q1Q2Q3Q4Q5Q6Q7的状态为0D1D2D3D4D5D6D7,并行输入数码存入寄存器。
从而使得G1输出为1,G2输出为0,结果,S1S2变为01,转换电路随着CP脉冲的加入,开始执行右移串行输出,随着CP脉冲的依次加入,输出状态依次右移,待右移操作七次后,Q0~Q6的状态都为高电平1,与非门G1输出为低电平,G2门输出为高电平,S1S2又变为11,表示并/串行转换结束,且为第二次并行输入创造了条件。
转换过程如表7-4所示。
中规模集成移位寄存器,其位数往往以4位居多,当需要的位数多于4位时,可把几片移位寄存器用级连的方法来扩展位数。
三、实验设备及器件
1、+5V直流电源
2、单次脉冲源
3、逻辑电平开关
4、逻辑电平显示器
5、CC40194×2(74LS194)CC4011(74LS00) CC4068(74LS30)
四、实验内容
1 、测试CC40194(或74LS194
按图7-5接线,R C、S1、S0、S L、
S R、D0、D1、D2、D3分别接至逻辑开关的
输出插口;Q0、Q1、Q2、Q3接至逻辑电平
显示输入插口。
CP端接单次脉冲源。
按
表7-5所规定的输入状态,逐项进行测
试。
图7-5 CC40194逻辑功能测试
(1)清除:令R C=0,其它输入均为任意态,这时寄存器输出Q0、Q1、Q2、
Q3应均为0。
清除后,置R C=1 。
(2)送数:令R C=S1=S0=1 ,送入任意4位二进制数,如D0D1D2D3=abcd,加CP脉冲,观察CP=0 、CP由0→1、CP由1→0三种情况下寄存器输出状态的变化,观察寄存器输出状态变化是否发生在CP脉冲的上升沿。
(2)右移:清零后,令R C=1,S1=0,S0=1,由右移输入端SR 送入二进制数码如0100,由CP端连续加4个脉冲,观察输出情况,记录之。
(4) 左移:先清零或予置,再令R C=1,S1=1,S0=0,由左移输入端S L送入二进制数码如1111,连续加四个CP脉冲,观察输出端情况,记录之。
(5) 保持:寄存器予置任意4位二进制数码abcd,令R C=1,S1=S0=0,加CP脉冲,
观察寄存器输出状态,记录之。
2、环形计数器
自拟实验线路用并行送数法予置寄存器为某二进制数码(如0100),然后进行右移循环,观察寄存器输出端状态的变化,记入表7-6中。
3、实现数据的串、并行转换
(1)串行输入、并行输出
按图7-3接线,进行右移串入、并出实验,串入数码自定;改接线路用左移方式实现并行输出。
自拟表格,记录之。
(2)并行输入、串行输出
按图7-4接线,进行右移并入、串出实验,并入数码自定。
再改接线路用左移方式实现串行输出。
自拟表格,记录之。
五、实验总结
1. 该实验存在一定测量误差,误差来源于电路箱中得误差,但是误差实验允许范围内,故该实验有效。
2.该实验应该注意电路的联线,同时要求熟练掌握各个芯片的使用方法。
3.移位寄存器有更深一步了解,加深了同学们对移位寄存器工作原理的理解,同时对书本的知识加深了理解。
4.对74LS194有了更近一步的加深认识和了解。