FPGA课程设计题目

合集下载

基于FPGA的设计题目

基于FPGA的设计题目

1.花样彩灯控制器的设计设计要求:假设输入脉冲为3MHz,控制16只LED发光二极管每隔1s或2s显示一种花样。

要求显示的花样如下:闪烁2次从LED(0)移位点亮到LED(15)一次全部点亮一次从LED(15)开始逐个熄灭至LED(0)1次闪烁2次。

如果按下清零键时,16只LED均熄灭一次,然后再重新按规律显示。

如果没有按下快/慢选择控制键时,16只LED发光二极管是以每隔1s进行花样显示,否则按下快/慢键选择控制键时,16只LED发光二极管是以每隔2s进行花样显示。

2.利用FPGA实现一个简单的DDS正弦波发生器(DDS:数字显示示波器)可分解为三个部分来设计:时钟产生模块;地址产生模块;ROM查找表模块。

实现思路:①首先,由外部晶振引入40MHz的时钟到FPGA内部,进入时钟产生模块,对时钟进行处理并3倍频程后,得到一个稳定精确的120MHz的系统时钟;②然后,地址产生模块在系统时钟的激励下,将频率控制字与累加寄存器输出的数据进行累加,然后把累加的结果作为地址输出给ROM查找表地址;③最后,ROM查找表模块在每个系统时钟的上升沿,按照地址来读取ROM 查找表中的相应的波形采样点数据并输出,该数就是最终的DDS信号。

3.多功能信号发生器的设计设计要求:设计一个多功能信号发生器,能够以稳定的频率产生锯齿波、增减锯齿波、三角波、阶梯波、正弦波和方波等六种信号。

系统有3个波形选择开关和一个复位开关,通过波形选择开关可以选择以上各种不同种类的输出波形;按下复位开关时,系统将复位。

设计实现:由于FPGA只能直接输出数字信号,而多功能信号发生器输出的各种波形均为模拟信号,因此设计信号发生器时,需将FPGA输出的信号通过D/A转换电路将数字信号转换成模拟信号。

多功能信号发生器可由信号产生电路、波形选择电路和D/A转换电路构成。

如下图所示:时钟信号波形输出选择信号4.数字跑表的设计设计要求:设计一个数字跑表,该跑表具有复位、暂停、秒表计时等功能。

fpga练习题库

fpga练习题库

fpga练习题库FPGA(Field-Programmable Gate Array)是一种可编程逻辑器件,广泛应用于数字电路设计和嵌入式系统开发。

为了帮助读者更好地掌握FPGA的相关知识,本篇文章将提供一套FPGA练习题库,旨在帮助读者巩固理论知识,并通过实践锻炼解决问题的能力。

一、概述本练习题库涵盖了FPGA的多个方面内容,包括Verilog语言编程、数字逻辑设计、时序分析、时钟分频以及外设接口等。

通过完成这些练习题,读者可以更好地理解FPGA的工作原理,掌握FPGA设计的基本方法和技巧。

二、练习题示例1. 编写一个Verilog代码,实现一个4位二进制加法器。

输入端包括两个4位的二进制数A和B,输出端为一个5位的二进制数S(其中前4位为和,最后1位为进位)。

2. 设计一个3:8译码器,使用FPGA实现。

译码器的输入端为3位二进制数A、B和C,输出端为8位译码结果D0-D7。

3. 在FPGA中实现一个时钟分频电路,输入端为一个时钟信号clk,输出端为两个时钟信号clk_div2和clk_div4,在输出端分别将输入时钟的频率分别分为原来的一半和四分之一。

4. 设计一个I2C总线控制器,实现与外部I2C设备的通信。

输入端为数据线SDA和时钟线SCL,输出端为I2C总线的控制信号(包括起始信号、停止信号、数据读写信号等)。

三、注意事项1. 在完成练习题时,建议使用Verilog HDL语言进行编程。

可以选择任何一款FPGA开发板进行搭建和验证。

2. 在编写代码时,注意书写规范和注释,增加代码的可读性和可维护性。

3. 在验证设计时,使用仿真工具进行功能验证,并通过FPGA开发板进行硬件验证。

4. 完成练习题后,可以通过波形验证、逻辑分析仪等工具进行结果检验。

5. 如果遇到问题,可以参考相关教材、文档或搜索引擎搜索相关资料,也可以向论坛、社群等渠道提问,寻求帮助和解答。

四、总结通过完成FPGA练习题库中的各种题目,读者可以提高FPGA设计和应用的能力。

fpga音乐盒课程设计

fpga音乐盒课程设计

fpga音乐盒课程设计一、课程目标知识目标:1. 学生能够理解FPGA的基本原理和数字电路设计的基础知识。

2. 学生能够掌握音乐盒的基本工作原理和音乐理论知识。

3. 学生能够描述FPGA在音乐盒设计中的应用和优势。

技能目标:1. 学生能够运用Verilog或VHDL等硬件描述语言进行FPGA编程。

2. 学生能够设计并实现一个简单的音乐盒电路,包括音符生成、音调控制和播放功能。

3. 学生能够利用FPGA实现音乐盒的个性化定制,创作自己的音乐作品。

情感态度价值观目标:1. 学生培养对电子工程和音乐创作的兴趣,提高创新意识和动手能力。

2. 学生培养团队合作精神,学会与他人合作解决问题。

3. 学生培养良好的工程伦理观念,认识到科技发展对社会的积极影响。

课程性质:本课程为实践性较强的课程,结合理论知识与实践操作,培养学生的实际动手能力和创新能力。

学生特点:学生具备一定的电子基础和编程能力,对音乐有兴趣,喜欢探索新事物。

教学要求:教师应注重理论与实践相结合,引导学生主动参与,鼓励学生创新思维,关注学生的个体差异,提高学生的综合素质。

通过课程学习,使学生能够将所学知识应用于实际项目中,达到学以致用的目的。

二、教学内容1. 数字电路基础:复习数字电路的基本概念,包括逻辑门、触发器、计数器等,为FPGA设计打下基础。

- 教材章节:第一章 数字逻辑基础2. FPGA原理与编程:介绍FPGA的基本结构、工作原理,学习Verilog或VHDL硬件描述语言。

- 教材章节:第二章 FPGA原理与应用;第三章 硬件描述语言基础3. 音乐理论知识:学习音乐的基础知识,包括音符、音阶、和弦等,为音乐盒设计提供理论支持。

- 教材章节:第四章 音乐理论基础4. 音乐盒设计:讲解音乐盒的组成,包括音符生成、音调控制、播放电路等,引导学生进行设计实践。

- 教材章节:第五章 音乐盒设计与实现5. FPGA在音乐盒中的应用:探讨FPGA在音乐盒设计中的优势,如灵活性、可编程性等,并分析实际案例。

基于fpga的简单课程设计

基于fpga的简单课程设计

基于fpga的简单课程设计一、课程目标知识目标:1. 学生能理解FPGA的基本概念,掌握FPGA的基本结构和原理;2. 学生能描述数字电路的基本组成,了解数字电路在FPGA中的应用;3. 学生能掌握Verilog HDL语言的基本语法和编程方法,并运用其设计简单的数字电路。

技能目标:1. 学生能运用FPGA设计软件进行电路设计和仿真;2. 学生能通过Verilog HDL编程实现基本的数字电路功能;3. 学生能对设计的FPGA电路进行调试和优化,提高电路性能。

情感态度价值观目标:1. 培养学生积极主动探索新知识、新技术,增强其对电子工程领域的兴趣;2. 培养学生具备团队协作精神,学会与他人共同解决问题,提高沟通与表达能力;3. 培养学生具备工程素养,关注工程伦理,认识到科技发展对社会的重要意义。

课程性质:本课程为实践性课程,注重培养学生的动手能力和创新能力。

学生特点:学生具备一定的电子技术基础,对FPGA技术有一定了解,具备基本的计算机操作能力。

教学要求:教师需结合学生特点和课程性质,采用任务驱动、案例教学等方法,引导学生主动参与课堂实践,提高学生的实际操作能力。

同时,注重培养学生的自主学习能力和团队合作精神,提高学生的综合素质。

通过课程目标的分解与实施,使学生在知识、技能和情感态度价值观方面取得具体的学习成果。

二、教学内容1. 数字电路基础- 数字逻辑基础:逻辑门、逻辑函数、逻辑代数;- 组合逻辑电路:编码器、译码器、多路选择器、算术逻辑单元;- 时序逻辑电路:触发器、计数器、寄存器。

2. FPGA基本原理- FPGA结构:逻辑单元、查找表、寄存器、布线资源;- FPGA编程原理:配置、重配置、上电配置;- FPGA设计流程:设计输入、综合、布局布线、仿真、下载。

3. Verilog HDL编程- 基本语法:模块、端口、信号、数据类型;- 语句结构:顺序语句、并行语句;- 基本数字电路设计:组合逻辑电路、时序逻辑电路、状态机。

FPGA技术课程设计题目

FPGA技术课程设计题目

课题一定时闹钟设计要求:设计一个具有系统时间设置和带闹钟功能的24小时计时器中的应用。

电子钟要求如下:(1)计时功能:4位LED数字时钟对当前时间的小时和分钟进行显示,显示的最长时间为23小时59分。

(2)设置并显示新的闹钟时间:用户先按“set”键,再用数字键“0”~“9”输入时间,然后按“alarm”键确认。

在正常计时显示状态下,用户直接按下“alarm”键,则已设置的闹钟时间显示在显示屏上。

(3)设置新的计时器时间:用户先按“set”键,再用数字键“0”-“9”输入新的时间,然后按“time”键确认。

在输入过程中;输入的数字在显示屏上从右到左依次显示。

例如,用户要设置新的时间 12:00,则按顺序输入“l”,“2”,“0”,“0”键,与之对应,显示屏上依次显示的信息为:“1”,“12”;“120”,“1200”。

如果用户在输入任意几个数字后较长时间内,例如5秒,没有按任何键,则计时器恢复到正常的计时显示状态。

(4)闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声;教学提示:根据上述设计的功能,可以进行功能分割成几大功能模块。

例如,系统可能包含按键去抖模块,用于按键编码的编码器,用于时钟计数的计数器,用于保存闹钟时间的寄存器,用于显示的七段数码显示电路等。

但其中最主要部分的是控制各个部分协同工作的电路,即控制器,以按照设计功能产生适当的时序控制。

课题二波形发生器设计要求:(1)设计并实现一个可产生正弦波、三角波波形发生器。

(2)其工作频率为50MHz,可产生1MHz、2MHz、3MHz、4MHz、5MHz的正弦波、三角波。

所产生波形的幅度、相位均可调整,输出数据的字长为12 bit。

若波形的频率为n MHz,则相位的最小调幅为2π*n/60。

(2)要求进行4级幅度调整,即ROM中存储的数据字长为10 bit,ROM输出的数据分别乘以001、100、011、和100。

教学提示:根据题目所给出的要求,可以计算出设计波形发生器时所需的各项参数。

最新FPGA题及答案

最新FPGA题及答案

1、本课程的讲授目标:了解一种新技术EDA;掌握一种设计工具(器件:Altera FPGA软件:Quartus II);掌握一种语言Verilog HDL。

2、使用Quartus II进行逻辑设计,常用的设计思想的输入方式有:原理图、HDL 等。

3、高级语言C程序经过软件程序编译器形成cpu指令/数据代码流;Verilog HDL程序经过综合器形成电路网表文件4、CPLD是在PAL,GAL等类型器件的基础上发展起来的与或阵列型PLD器件,大多数FPGA采用了查找表结构,其物理结构是静态存储器SRAM.。

5、JTAG边界扫描技术用于对高密度、引脚密集的器件和系统进行测试,如:CPU,DSP,ARM,PLD 等。

同时,JTAG接口也被赋予了更多的功能:编程下载、在线逻辑分析。

6、使用Verilog HDL进行逻辑设计,变量的值有4种状态:0、1、x、z;7、定义逻辑功能的几种基本方法:用assign持续赋值语句定义、用always过程块定义、调用元件(元件例化)。

8、整数按如下方式书写:+/-<size> '<base><value> 即+/-<位宽>'<进制><数字>size 为对应二进制数的宽度;base为进制;value是基于进制的数字序列。

进制有如下4种表示形式:二进制(b或B)、十进制(d或D或缺省)、十六进制(h或H)、八进制(o或O)9、定义reg型标量型变量:reg qout;//变量名qout10、定义wire型向量:wire[7:0] databus;//databus的宽度是8位11、在状态机设计中使用一位热码定义5种状态,并定义状态变量:parameter s0=5’b00001,s1=5’b 00010,s2=5’b 00100,s3=5’b 01000,s4=5’b 10000;reg [4:0] state,next_state;12、在状态机设计中使用顺序码定义5种状态,并定义状态变量:parameter s0=3’b 000,s1=3’b 001,s2=3’b 010,s3=3’b 011,s4=3’b 100;reg [2:0] state,next_state;1、成为IEEE 标准的HDL 有( CD )A 、ABEL-HDLB 、AHDLC 、VHDLD 、Verilog HDL2、Quartus II 是 ( A )公司的( D )开发工具。

(完整版)FPGA课程设计(最终版)

(完整版)FPGA课程设计(最终版)

课程设计任务书学生姓名:专业班级:指导教师:工作单位:信息工程学院题目: 电子琴的设计课程设计目的:《FPGA原理与应用》课程设计的目的是为了让学生熟悉基于VHDL语言进行FPGA开发的全流程,并且利用FPGA设计进行专业课程理论知识的再现,让学生体会EDA技术的强大功能,为今后使用FPGA进行电子设计奠定基础。

课程设计内容和要求设计内容:(1)设计一个八音电子琴。

(2)由键盘输入控制音响,同时可自动演奏乐曲。

(3)用户可以将自己编制的乐曲存入电子琴,演奏时可选择键盘输入乐曲或者已存入的乐曲。

要求每个学生单独完成课程设计内容,并写出课程设计说明书、说明书应该包括所涉及到的理论部分和充足的实验结果,给出程序清单,最后通过课程设计答辩。

时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (1)Abstract (2)1设计意义和要求 (3)1.1设计意义 (3)1.2功能要求 (3)2方案论证及原理分析 (4)2.1实现方案比较 (4)2.2乐曲实现原理 (4)2.3系统组成及工作原理 (6)3系统模块设计 (8)3.1顶层模块的设计 (8)3.2乐曲自动演奏模块的设计 (8)3.3音阶发生器模块的设计 (9)3.4数控分频器模块的设计 (9)4程序设计 (11)4.1VHDL设计语言和ISE环境简介 (11)4.2顶层模块的程序设计 (12)4.3乐曲自动演奏模块的程序设计 (13)4.4音阶发生器模块的程序设计 (13)4.5数控分频模块的程序设计 (14)5设计的仿真与实现 (15)5.1乐曲自动演奏模块仿真 (15)5.2音调发生模块仿真 (18)5.3数控分频模块仿真 (19)5.4电子琴系统的仿真 (20)5.5设计的实现 (22)5.6查看RTL视图 (23)5.7查看综合报告 (25)6心得体会 (31)7参考文献 (32)8附录 (33)摘要随着基于FPGA的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制用计算机等领域的重要性日益突出。

fpga课程设计课题

fpga课程设计课题

fpga课程设计课题一、教学目标本课程旨在通过学习FPGA的基本概念、原理和应用,使学生掌握FPGA技术的基本知识和技能,能够运用FPGA设计简单的数字系统,培养学生的创新能力和实践能力。

具体目标如下:知识目标:使学生了解FPGA的基本概念、工作原理和应用领域;掌握FPGA设计的基本流程和方法;掌握VHDL或Verilog硬件描述语言的基本用法。

技能目标:培养学生能够使用FPGA开发工具进行数字系统的设计、仿真和验证;培养学生能够独立完成FPGA硬件电路的搭建和调试。

情感态度价值观目标:培养学生对新技术的敏感性和好奇心,培养学生的创新意识和团队合作精神。

二、教学内容本课程的教学内容主要包括FPGA的基本概念、FPGA的设计流程、VHDL和Verilog硬件描述语言、FPGA的应用实例等。

具体安排如下:第1-2课时:FPGA的基本概念和原理。

介绍FPGA的定义、结构、工作原理和应用领域。

第3-4课时:FPGA的设计流程。

介绍FPGA设计的基本流程,包括需求分析、逻辑设计、硬件描述语言编写、仿真验证、综合布局和布线、下载配置等。

第5-6课时:VHDL和Verilog硬件描述语言。

介绍VHDL和Verilog的基本语法、数据类型、逻辑电路描述、模块化和层次化设计方法等。

第7-8课时:FPGA的应用实例。

介绍FPGA在数字系统设计中的应用实例,如数字信号处理器、数字逻辑电路、数字通信系统等。

三、教学方法本课程采用讲授法、案例分析法、实验法等多种教学方法,以激发学生的学习兴趣和主动性。

讲授法:通过讲解FPGA的基本概念、原理和应用,使学生掌握FPGA技术的基本知识和技能。

案例分析法:通过分析具体的FPGA应用实例,使学生了解FPGA技术在实际工程中的应用和价值。

实验法:通过动手实践,使学生掌握FPGA设计的基本流程和方法,培养学生的创新能力和实践能力。

四、教学资源本课程的教学资源包括教材、实验设备、多媒体资料等。

FPGA题目

FPGA题目

注:标红色为男生讨论的重点答案这是男生的心血啊。

珍惜…一简答题30分(每题五分)二判断下列程序是否有错误,如有则指出错误所在,并给出完整程序(20分)三解释程序(30分)四编程序(20分)1. 已知电路原理图如下,请用VHDL语言编写其程序2. 用元件例化语句设计如图所示电路,元件为2输入与非门。

1.简述quartus II 的设计流程。

答:设计输入,综合,布局布线,时序分析,仿真,编程与配置。

2.quartus II开发工具为设计者提供了哪些库?各有什么功能?答:样板二:1)Megafunction库,参数化宏模块库。

算术运算模块,逻辑模块,存储模块,I/O模块;2)Maxplus2库,时序电路宏模块,运算电路宏模块3)Primitives库,存储单元,逻辑门,缓冲器,I/O引脚和其他功能模块3.quartus II的综合编译器包含哪些内容?答:编译,网表输出,综合,配置器件,将设计配置到ALTERA器件中,编译器根据器件特性产生真正延时时间并给器件的配置文件。

4.什么叫功能仿真?什么叫时序仿真?两者有什么区别?答:功能仿真又称前仿真,是在不考虑器件延时的理想情况下的一种项目验证方法,通过功能仿真来验证一个项目的逻辑功能是否正确。

时序仿真又称模拟仿真或后仿真,是在考虑设计项目具体适配器件的各种延时的情况下的一种项目验证方法。

时序仿真不仅测试逻辑功能,还测试目标器件最差情况下的时间关系。

5.设计输入有几种方法?怎样选择?答:1)QuatusII 本身具有的编辑器:原理图式图形设计输入;文本编辑输入;内存编辑输入。

2)第三方EDA工具编辑的标准格式文件。

3)采用一些别的方法优化和提高输入的灵敏度,乳混合设计格式,他利用LPM和宏模块来加速设计输入。

6.根据下面的VHDL语句,描述出相应的电路原理图。

LIBRARY IEEE;USE IEEE.std_logic_1164.ALL;USE IEEE.std_logic_unsigned.ALL;ENTITY cfq_1 ISPORT (d,cp :IN std_logic;q, nq :OUT std_logic);END cfq_1;END ar 4;ARCHITECTURE ar_4 OF cfq_1 ISBEGINPROCESS(CP)BEGINIF cp=’1’THENq<=d;nq<=NOT d;END IF;END PROCESS;答:7. 名词解释:VHDL,实体说明,结构体,类属表,数据对象,并行语句,程序包。

10级FPGA实训题目

10级FPGA实训题目

FPGA实训题目注:请同学们自己分成几个小组,每个小组在下面的题目中选择一题(题目后面括号为选择该组题目的人数),每个题目分成几个模块,请每人完成自己选择的模块的程序编写,综合,仿真(要求用QuartusII进行仿真)。

上交报告要求:实训报告按照课程设计报告的要求进行撰写,每人上交一份,题目为自己组的选题题目,并加上自己的完成模块名称。

内容包含设计要求,总电路框图,对quartusII的简单介绍,自己完成的模块要求,该模块的程序以及仿真结果;实训过程碰到的问题及解决方法。

实训时间是:第1,2,3周,第5、6周周二下午12:55——16:40进度要求:第一周:上交每组学生名单,每组完成电路原理框图的设计,每个人明确自己所需完成的模块第二周:做好ppt,每组讨论原理图,互相分析是否合理,进行讨论第三周:每个人完成各个模块的程序编写、第五周:每个人完成自己所写程序的综合、仿真第六周:完成实训报告一、六人抢答器(3人)设计要求:1、本次设计的抢答器可六人操作,具有抢答开始后30秒倒计时,30秒倒计时后六人抢答显示超时,并报警。

2、能显示超前抢答台号并显示犯规报警3、系统复位后能进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。

模块划分:1、按键模块:包括主持人的控制的复位按键,以及各位选手的抢答按键。

2、报警模块:报警模块主要选手实现抢答时的鸣响、有人犯规、抢答时间到时的报警3、显示模块:包括30秒倒计时显示、抢答选手号码显示4、音乐播放模块要求每个人设计各个部分后,用quartusII进行仿真。

扩展:音乐抢答器在抢答的倒计时时刻,播放一段轻音乐。

在实训的后面阶段,可以设计这部分。

二、数字式移相信号发生器的设计(2人)直接数字频率合成即DDS,它是目前最新的产生频率源的频率合成技术。

这种技术是用数字计算机和数模变换器来产生信号。

fpga的课程设计题目

fpga的课程设计题目

fpga的课程设计题目一、教学目标本课程的教学目标是使学生掌握FPGA(现场可编程门阵列)的基本原理、设计和应用。

具体包括:1.知识目标:学生能够理解FPGA的基本结构、工作原理和编程方法;了解FPGA在数字电路设计中的应用和优势。

2.技能目标:学生能够使用FPGA开发工具进行简单的数字电路设计,包括逻辑分析、编码、仿真和下载;能够阅读和理解FPGA相关的技术文档。

3.情感态度价值观目标:培养学生对新技术的敏感性和好奇心,提高学生解决实际问题的能力,培养学生的创新精神和团队合作意识。

二、教学内容教学内容主要包括FPGA的基本原理、FPGA的设计方法和应用实例。

具体安排如下:1.FPGA的基本原理:介绍FPGA的结构、工作原理和编程方法;2.FPGA的设计方法:讲解FPGA的设计流程,包括逻辑分析、编码、仿真和下载;3.FPGA的应用实例:分析FPGA在数字电路设计中的应用和优势,举例讲解FPGA的实际应用。

三、教学方法本课程采用讲授法、讨论法、案例分析法和实验法等多种教学方法,以激发学生的学习兴趣和主动性。

1.讲授法:讲解FPGA的基本原理和设计方法;2.讨论法:学生讨论FPGA的应用实例和实际问题;3.案例分析法:分析FPGA的实际应用案例,帮助学生理解FPGA的设计和应用;4.实验法:安排实验课程,让学生动手操作FPGA开发工具,进行实际的数字电路设计。

四、教学资源教学资源包括教材、参考书、多媒体资料和实验设备等。

具体如下:1.教材:选用权威、实用的教材,为学生提供系统的FPGA知识;2.参考书:提供相关的参考书籍,丰富学生的知识体系;3.多媒体资料:制作精美的PPT和教学视频,增强课堂教学的趣味性和生动性;4.实验设备:提供FPGA开发板和实验器材,让学生能够动手实践,提高实际操作能力。

五、教学评估本课程的评估方式包括平时表现、作业和考试等,以全面客观地反映学生的学习成果。

1.平时表现:评估学生在课堂上的参与度、提问和讨论表现,以考察学生的学习态度和理解能力;2.作业:布置适量的作业,评估学生的完成情况和理解程度;3.考试:进行期中和期末考试,评估学生的知识掌握和应用能力。

电子技术课程设计-FPGA 实验(含代码)

电子技术课程设计-FPGA 实验(含代码)

电子技术课程设计FPGA 实验实验一基本组合逻辑电路设计实验1.1 加法器设计一、实验目的:1、掌握加法器的设计原理工作原理和设计方法;2、理解逐次进位和超前进位加法器的原理。

二、实验原理表1.1 全加器真值表数A、加数B 以及低一位来的进位Cin,输出为本位的和S及向高一位的进位Co。

根据全加器功能,列出真值表,如表1.1 所示。

根据表1.1 可以得到:多比特的全加器可以通过一位全加器和逻辑门得到。

根据其结构可以分为逐次进位加法器和超前进位加法器(如图1.2 和图1.3 所示)。

逐次进位全加器直接由一位全加器级联得到,结构简单。

但是后一级的结果需要等待前一级的进位输出计算完成,多比特的加法器延时较长。

超前进位加法器可以缓解这个问题。

超前进位加法器是一种通过缩短进位信号的生成时间加快运算速度的电路。

这里采用进位产生函数和进位传递函数。

其中,P为进位传递函数,G为进位产生函数。

当G 为1 时,表示A 和B都为1,这时不管Cin 为何值都会产生进位信号,称为进位生成信号。

而当P 为1 时,意味着A 和B 中有一个为1,另一个为0,如果Cin 为1,则产生进位,否则没有进位,类似把Cin 的值传给了Co,因此称为进位传递函数。

依此类推得到各级的进位分别为:C0=G0+P0C-1C1=G1+P1G0+P1P0C-1C2=G2+P2G1+P2P1G0+P2P1P0C-1由式可以看出,每级的进位信号可以不需要等待之前一级的进位信号,而直接由各级的进位产生、进位传递、以及最低位进位输入计算得到,可以提高速度。

图1.1.1逐次进位加法器示意图图1.1.2超前进位加法器示意图三、实验任务1,实现四位逐次进位加法器的门级设计代码实现:图1.1.3四位逐次进位加法器的门级设计module adder(S,Co,A,B,Cin) 为一位全加器模块,采用门级描述, modulefour_digit_adder为四位加法器模块,依据逐次进位原理依次调用一位全加器模块,实现四位全加器。

基于FPGA的交通灯控制课程设计报告

基于FPGA的交通灯控制课程设计报告

基于FPGA的交通灯控制课程设计报告课程设计报告设计题目:基于FPGA的交通灯控制专业班级学号学生姓名指导教师设计时间教师评分2012年12月14日目录1、概述 (1)1.实验目的 (1)1.2课程设计的组成部分 (1)2、交通灯设计的内容 (2)3、总结 (5)3.1课程设计进行过程及步骤 (5)3.2体会收获及建议 (10)4、教师评语 (10)5、成绩 (11)1、概述1.实验目的(1)熟悉利用QuartursⅡ开发数字电路的基本流程和QuartursⅡ软件的相关操作。

(2)掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,利用JTAG/AS进行下载等基本操作。

(3)了解VerilogHDL语言设计或原理图设计方法。

(4)通过本知识点的学习,了解交通灯的工作原理,掌握其逻辑功能及设计方法。

1.2课程设计的组成部分(1)系统功能:实现十字路口的交通灯显示。

(2)系统要求:a. 要求控制南北、东西方向各3个灯(红、黄、绿)的亮灭;b. 用LED0-LED5六个灯来代表红绿灯,其中LED0-LED2表示南北方向的红,黄,绿灯,LED3-LED5表示东西方向的红,黄,绿灯。

c. 要求南北方向红灯亮5秒,同时东西方向绿灯亮3秒,绿灯结束后,东西方向黄灯亮2秒。

转东西红灯亮5秒,同时南北绿灯亮3秒,绿灯结束后,南北黄灯亮2秒,一直循环。

(3)引脚分配:2、交通灯设计的内容主程序module jtd(clk,led);input clk;output[7:0]led;reg[7:0]led;reg[4:0]state;always @ (posedge clk)begin state = state + 5'b00001;case(state)5'b00000:led<=8'b00001001;5'b00001:led<=8'b00100001; //南北红灯亮5秒,东西绿灯亮3秒,在转东西黄灯2秒5'b00010:led<=8'b00000000;5'b00011:led<=8'b00100001;5'b00100:led<=8'b00000000;5'b00101:led<=8'b00100001;5'b00110:led<=8'b00000000;5'b00111:led<=8'b00010001;5'b01000:led<=8'b00000000;5'b01001:led<=8'b00010001;5'b01010:led<=8'b00000000;5'b01011:led<=8'b00001100; //东西红灯亮5秒,南北绿灯亮3秒,在转南北黄灯2秒5'b01100:led<=8'b00000000;5'b01101:led<=8'b00001100;5'b01110:led<=8'b00000000;5'b01111:led<=8'b00001100;5'b10000:led<=8'b00000000;5'b10001:led<=8'b00001010;5'b10010:led<=8'b00000000;5'b10011:led<=8'b00001010;5'b10100:led<=8'b00000000;default:state=5'b00000;endcaseendendmodule分频器部分,获得便于试验观察的时钟信号module fpq(clk_out,clk_in);input clk_in;output clk_out;reg clk_out;reg[25:0] counter; //50_000_000=1011_1110_1011_1100_0010_0000_00 parameter cnt=25_000_00; // 50MHz is the sys clk,50_000_000=2FAF080always @(posedge clk_in)begincounter<=counter+1;if(counter==cnt/2-1)beginclk_out<=!clk_out;counter<=0;endendendmodule3、总结3.1课程设计进行过程及步骤a. 用Quartus II 8.0 (32-Bit)软件建立工程:b.在工程建立好后,再建立verilog HDL filec.建好verlog HDL file 后,在里面写入两个程序(一个主程序,一个子程序),将写好的程序保存,并编译,确定没有错误后,输入引脚分配。

FPGA课程设计题目

FPGA课程设计题目

1、彩灯控制器设计内容及要求:设计一个彩灯控制器,具体设计要求如下:(1)要有多种花型变化(至少设计5种),led 至少16路(2)多种花型可以自动变化(3)彩灯变换的快慢节拍可以选择(4)具有清零开关(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

2、数字秒表设计内容及要求:设计一用于体育比赛的数字秒表,具体设计要求如下:(1)6位数码管显示,其中两位显示min ,四位显示see ,显示分辨率为0.01 s 。

(2)秒表的最大计时值为59min59.99see 。

(3)设置秒表的复位/启动键,按一下该键启动计时,再按即清0。

依此循环。

(4)设置秒表的暂行/继续键。

启动后按一下暂行,再按继续。

依此循环。

(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

3、交通信号控制系统设计内容及要求:设计一个十字路口交通控制系统设计一个十字路口交通控制系统,,具体设计要求如下:(1)东西(用A 表示)、南北(用B 表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是40秒、秒、55秒和45秒, , 交通灯运行的切换示意图和时序图分别如图交通灯运行的切换示意图和时序图分别如图1、图2所示。

(2)系统设有时钟统设有时钟,,以倒计时方式显示每一路允许通行的时间。

(3)当东西或南北两路中任一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止计时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。

A红亮B黄亮A绿亮B红亮A黄亮B红亮A红亮B绿亮5秒40秒45秒5秒图1 1 交通灯运行切换示意图交通灯运行切换示意图B红CPA绿A黄A红B黄B绿45 S5S 5S 40 S 90 S1S图2 2 交通灯时序图交通灯时序图(4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

FPGA课程设计之压力传感器系统

FPGA课程设计之压力传感器系统

湖北科技学院计算机学院《FPGA原理及应用》课程设计姓名:毛嫚嫚学号:123921046姓名:危金潇学号:123921044姓名:禹启标学号:123921045姓名:张翼学号:123921019专业:物联网工程课题:压力传感器系统指导教师:邓树文2014 年12 月1.课程设计目的如今伴随着计算机测控系统特别是多传感器计算机测控系统的发展,智能传感器作为一个与之相应的新兴研究方向,正受到人们越来越多的关注。

然而,虽然近年来它的研究与开发取得了一定的成果,但是还远远不能够满足实际的需求,尤其在压力测量领域更是急待发展。

随着压力测控系统的发展,现有的传统压力传感器已无法满足要求。

在此,利用FPGA具有扩展灵活,可实现片上系统(SoC),同时具有多种IP核可供使用等优点,设计能够控制多路模拟开关、A/D转换、快速数据处理与传输、误差校正、温度补偿的智能传感器系统;同时将传感器与数据采集处理控制系统集成在一起,使系统更加紧凑,提高了系统适应工业现场的能力。

2.课程设计题目描述和要求设计能够控制多路模拟开关、A/D转换、快速数据处理与传输、误差校正、温度补偿的智能传感器系统;同时将传感器与数据采集处理控制系统集成在一起,使系统更加紧凑,提高了系统适应工业现场的能力。

选定题目为《智能压力传感器系统》。

3.课程设计报告内容3.1.设计方案的选定与说明检测压力的传感器有很多种,而且方法也很多。

根据设计要求,智能压力传感器系统采用低价格、小体积、高性价比的八位微处理器(单片机)MSCl211Y5控制,用以实现传感器信息的处理、数字通讯和智能化管理。

液晶显示采用并行接口,编程采用串行接口。

通信速率可达到57.6 Kbps,能够满足大多数工业控制系统的要求,稍加改进,即可实现远程控制和网络控制,总体结构分为计算机和智能压力传感器两部分,本智能压力传感器同时具有数字输出和模拟输出,并且每台传感器在满刻度(FS)压力范围对各种温度进行了校正,因此,它是一个既非常精确、标准的模拟电压输出装置,也是一个完善的、具有地址的数字传感器。

FPGA设计实验考试抽测题目

FPGA设计实验考试抽测题目

FPGA设计实验抽测题目1、试用verilog设计一个基本RS锁存器(用行为描述风格),并用test bench程序测试。

要求:用modelsim进行仿真,给出仿真波形图2、试用verilog设计一个基本RS锁存器(用结构描述风格),并用test bench程序测试。

3、试用verilog设计一个带低电平清零的D锁存器(用行为描述风格),并用test bench程序测试。

要求:用modelsim进行仿真,给出仿真波形图4、试用verilog设计一个带低电平清零的D锁存器(用数据流描述风格),并用test bench 程序测试。

5、试用verilog设计一个D触发器,其功能表如下表所示。

6、试用verilog设计一个JK触发器,其功能表如下表所示。

要求:用Quartus ii设计,并进行硬件测试。

给出Quartus ii仿真波形图、RTL图7、试用verilog设计74HC161,其功能表如下表所示要求:用Quartus ii设计,并进行硬件测试。

给出Quartus ii仿真波形图,给出并解释RTL图8、试用verilog设计一个四位二进制加法计数器的test bench程序要求:用modelsim进行仿真,给出仿真波形图9、试用verilog设计一个带置数功能的四位二进制加法计数器的test bench程序。

要求:用modelsim进行仿真,给出仿真波形图10、试用verilog设计一个检测3个1的检测器,其状态图如下图所示。

要求:用Quartus ii设计,并进行硬件测试。

给出Quartus ii仿真波形图,生成状态转移图或RTL图11、试用verilog设计一个检测3个或3个以上的1的检测器的test bench。

要求:用modelsim进行仿真,给出仿真波形图12、试用verilog设计74LS138译码器,其功能表如下表所示要求:用Quartus ii设计,并进行硬件测试。

给出Quartus ii仿真波形图,给出并解释RTL图13、试用verilog设计74LS148编码器,其功能表如下表所示要求:用Quartus ii设计,并进行硬件测试。

《FPGA设计实验》考试题目

《FPGA设计实验》考试题目

FPGA设计实验考试题目(开卷)要求:以下题目除特别说明外,均必须通过硬件测试(即下载至开发板验证),并编写好Test Bench,通过ModelSim仿真,给出其源程序(关键语句必须解释语句含义)、功能仿真图、RTL图(主要图形说明其功能)或状态机图。

其中现场操作50分。

总分100分。

1、设计一个多位数据比较器(测试时以3位为例)2、设计一个投票表决器(测试时以5人为例)3、将开发板上的50MHZ时钟分频为秒脉冲时钟信号module fenp(clk_out,clk_in,reset); output clk_out; input cl k_in; input reset; reg [1:0] cnt; reg clk_out;lways@(posedge clk_in or posedge reset) begin if(reset) begin cnt<=0; clk_out<=0; end else beginif(cnt==24999999) beginclk_out<=!clk_out; cnt<=0; end else cnt<=cnt+1; end end end module系统时钟为50MHz,用Verilog代码怎样将其分频至1/100smodule S20 (clk,rst,clk_out);input clk,rst;output clk_out;reg clk_out;reg [4:0] count1;always@( posedge clk or negedge rst)if ( !rst )begincount1 <= 0;clk_out<= 0;endelsebeginif (count1 < 20)begincount1 <= count1+1;if (count1>=10)clk_out <=1;elseclk_out <=0;endelsecount1 <=0;endendmodule设计一个分频器,要求:占空比为50%的任意奇数次5分频电路。

基于FPGA的音乐播放器课程设计

基于FPGA的音乐播放器课程设计

唐山学院EDA技术课程设计题目音乐播放器系 (部) 智能与信息工程学院班级姓名学号指导教师2016 年 12 月 22 日至 12 月 30 日共 2 周 2016年 12 月 31 日课程设计成绩评定表EDA技术课程设计任务书目录1.设计目的与要求.................................... 错误!未定义书签。

1.1.课程设计目的.................................. 错误!未定义书签。

1.2.课程设计要求.................................. 错误!未定义书签。

2.应用工具介绍...................................... 错误!未定义书签。

2.1.EDA技术介绍.................................. 错误!未定义书签。

2.2.Verilog HDL 语言介绍 (2)3.基本原理.......................................... 错误!未定义书签。

3.1.音调的控制 (4)3.2.音长的控制 (4)4.方案实现 (5)5.总结 (13)6.参考文献 (14)1.设计目的与要求1.1课程设计目的:1)加深对EDA技术的理解,掌握音乐播放器的工作原理2)了解怎样控制音调的高低变化和音长,从而完成乐曲的自动循环演奏。

3)培养自主学习、正确分析和解决问题的能力1.2课程设计要求:1)使用蜂鸣器播放音乐2)三首音乐以上3)数码管上显示当前播放的歌曲位置(第几首歌曲)4)能够暂停和开始5)能够选择上一首和下一首歌曲2.应用工具介绍作为当今最流行的计算机软件系统,EDA技术是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

EDA可提供文本输入以及图形编辑的方法将设计者的意图用程序或者图形方式表达出来,而我们经常用到的VHDL语言便是用于编写源程序所需的最常见的硬件描述语言(HDL)之一。

《FPGA设计基础》期末实操考核试题

《FPGA设计基础》期末实操考核试题

FPGA设计基础期末实操考核课程试题(1)课程名称:《FPGA设计基础》授课班级:任课教师:系部:审核人:考试时间:项目1名称:BCD码—七段数码管显示译码器设计利用Modelsim软件设计一个BCD—七段数码管显示译码器,其中源码程序已部分给出,教务处年月日实操考核课程试题(2)课程名称:《FPGA设计基础》授课班级:任课教师:系部:审核人:考试时间:项目2名称:百分频器设计利用Modelsim软件设计一个百分频器,其中源码程序已部分给出,测试码自行设计。

请按教务处年月日实操考核课程试题(3)课程名称:《FPGA设计基础》授课班级:任课教师:系部:审核人:考试时间:项目3名称:六十进制计数器设计利用Modelsim软件设计一个六十进制计数器,其中源码程序已部分给出,请按照以下子教务处年月日实操考核课程试题(4)课程名称:《FPGA设计基础》授课班级:任课教师:系部:审核人:考试时间:项目4名称:数值比较器设计利用Modelsim软件设计一个数值比较器,其中源码程序已部分给出,测试码自行设计。

请教务处年月日实操考核课程试题(5)课程名称:《FPGA设计基础》授课班级:任课教师:系部:审核人:考试时间:项目5名称:8-3编码器设计利用Modelsim软件设计一个8-3编码器,其中源码程序已部分给出,测试码自行设计。

请按教务处年月日实操考核课程试题(6)课程名称:《FPGA设计基础》授课班级:任课教师:系部:审核人:考试时间:项目6名称:3-8译码器设计利用Modelsim软件设计一个3-8译码器,其中源码程序已部分给出,测试码自行设计。

请教务处年月日。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1、彩灯控制器设计
内容及要求:
设计一个彩灯控制器,具体设计要求如下:
(1)要有多种花型变化(至少设计5种),led至少16路
(2)多种花型可以自动变化
(3)彩灯变换的快慢节拍可以选择
(4)具有清零开关
(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

2、数字秒表设计
内容及要求:
设计一用于体育比赛的数字秒表,具体设计要求如下:
(1)6位数码管显示,其中两位显示min,四位显示see,显示分辨率为0.01 s。

(2)秒表的最大计时值为59min59.99see。

(3)设置秒表的复位/启动键,按一下该键启动计时,再按即清0。

依此循环。

(4)设置秒表的暂行/继续键。

启动后按一下暂行,再按继续。

依此循环。

(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

3、交通信号控制系统设计
内容及要求:
设计一个十字路口交通控制系统,具体设计要求如下:
(1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是40秒、5秒和45秒, 交通灯运行的切换示意图和时序图分别如图1、图2所示。

(2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。

(3)当东西或南北两路中任一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止计时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。

图1 交通灯运行切换示意图
B红
CP
A绿
A黄
A红
B黄
B绿
5S
5S
图2 交通灯时序图
(4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

4、简易密码锁设计
内容及要求
设计一个4位串行数字锁。

(1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。

否则进入“错误”状态,并发出报警信号。

(2)锁内的密码可调,且预置方便,保密性好。

(3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。

此时,数字锁又自动等待下一个开锁状态。

(4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

5、出租车计价器设计
内容及要求
(1)设一个出租车自动计费器,计费包括起步价、行驶计费和等待计费三个部分,用4个数码管显示出金额数目,最大值为999.9元,最小计价单位为0.1元。

行驶里程在3公里范围内且等待时间未超过三分钟时按起步价8元计费;行驶里程超过三公里后按每公里2元收费;等待时间超过三分钟后按每分钟1元收费。

等待时间用两个数码管显示,最大值为59分钟。

总费用=起步价+(里程-3km )*里程单价+(等待时间-3)*等候单价
(2)能够实现的功能:
显示汽车行驶里程:用四位数字显示,单位为km 。

计程范围为0~99km ,计程分辨率为1km 。

显示等候时间:用两位数字显示分钟,单位为min 。

计时范围为0~59min ,计时分辨率为1min 。

显示总费用:用四位数字显示,单位为元。

计价范围为999.9元,计价
分辨率为0.1元。

(3)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

12、8人抢答电路设计
内容及要求
完成8人抢答电路设计。

(1)按键用作抢答输入,顺序编号1~8;
(2)数码管显示抢答得胜的号码;
(3)由控制信号决定新一轮抢答的开始;
(4)工作时钟100Hz即可;
(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

13、7人表决电路设计
内容及要求
完成7人表决电路设计,LED灯表示通过、否决。

(1)开关表示赞成与否,1~8编号(1赞成);
(2)LED显示表决的结果;
(3)数码管分别显示赞成、否决的人数;
(4)工作时钟100Hz即可;
(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

14、7分频时钟产生电路设计
内容及要求
完成7分频电路。

(1)将输入时钟进行7分频;
(2)工作时钟1hz;
(3)分频信号点亮LED,工作时钟0~7计数显示于数码管;
(4)复位时分频信号无输出;
(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

15、七段显示器动态扫描电路设计
内容及要求
1)设计一个七段数码管动态扫描电路。

数码管个数为6个,共阳极接法。

2)设计一电路,控制上述电路实现“123456”6个数字的显示,要求显示方式为:
(1)自左至右逐个点亮数码管,最后全亮;再重复以上动作,每次变化时间间隔为1秒。

(2)自左至右点亮数码管,每次只点亮一个,最后全息灭,再重复以上动作,每次变化时间间隔为1秒。

(3)先中间两个点亮,再依次向外点亮;全亮后,再依次向中间熄灭;重复上述步骤,每次变化时间间隔为1秒。

(4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

16、数字钟设计
内容及要求
设计一数字钟,具体要求如下:
(1)以时、分、秒形式显示;
(2)二十四小时循环
(3)具有复位功能
(3)有时间校准和调时功能
(4)具有整点报时功能(声光同时提示)
(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

17、电子琴1设计
内容及要求
设计一电子琴,具体要求如下:
(1)具有8个按键,当按下某一个按键的时候,能够演奏8个音符之一:高音1、中音1、2、3、4、5、6、7。

(2)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

18、电子琴2设计
内容及要求
设计一电子琴,具体要求如下:
(1)具有8个按键,当按下某一个按键的时候,能够演奏8个音符之一:低音1、高音1、2、3、4、5、6、7。

程设计本身提交一篇课程设计报告。

19、音乐播放器
内容及要求
设计一音乐播放器,具体要求如下:
(1)人选一首歌曲播放,要求有“播放”、“停止”按键。

(2)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

备注:不可选择梁祝、两只老虎、两只蝴蝶、万水千山总是情等歌曲。

21、数字频率计设计
内容及要求
设计一能测量方波信号频率计,具体要求如下:
(1)测量的频率范围是0 999999Hz。

(2)设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

(3)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

22、可变周期脉冲发生器的设计
内容及要求
设计一个周期和输出个数可调的脉冲发生器,具体要求如下:
(1)默认情况下,输出一个周期为1KHz,占空比为50%的脉冲;
(2)可对脉冲的高电平和低电平的延续时间进行调整;调整步长自定;
(3)可设置脉冲输出的个数。

设置完后,在数码管上显示剩余输出的脉冲个数;
(4)系统时钟使用实验箱提供的时钟
(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

24、温度报警器的设计
内容及要求
试设计一个温度报警器,具体要求如下:
(1)当温度高于上限时,提示“H”,当温度低于下限时,提示是“L”,范围内时,显示“OK”。

程设计本身提交一篇课程设计报告。

25、洗衣机控制器的设计
设计内容及要求:
(1)设计一个电子定时器,控制洗衣机作如下运转:定时启动—>正转20秒->暂停10秒->反转20秒->暂停10秒->定时未到回到“正转20秒暂停10秒……”,定时到则停止;
(2)若定时到,则停机发出音响信号;
(3)用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;
(4)三只LED灯表示“正转”、“反转”、“暂停”三个状态。

(5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。

相关文档
最新文档