毕业设计论文_基于FPGA的电子密码锁设计

合集下载

基于FPGA的电子密码锁设计

基于FPGA的电子密码锁设计

基于FPGA的电子密码锁设计一、设计要求:1、开锁代码为8位二进制,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯。

否则,系统进入“错误”状态,并发出报警信号。

2、开锁程序有设计者确定,并要求所内给定的密码可调,且预置方便,保密性好。

3、串行电子密码锁的报警方式是点亮指示灯,并使喇叭鸣叫报警,直到按下复位开关,报警才停止。

此时,电子密码锁又进入等待下一次开锁的状态。

二.设计说明与提示:1.该题目的主要任务是产生一个开锁信号OPEN,而开锁信号的形成条件是,输入代码和已设密码相同。

实现这种功能的电路构思有多种,本题目运用两片8位锁存器,一片存入密码,另一片输入开锁代码,通过比较的方式,若两者相等,则形成开锁信号。

2.在开锁信号产生时,要求输出声,光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED指示灯。

3.用按钮开关的第一个动作信号触发一个5秒定时器,若5秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED不断闪烁。

三.设计思想:电子密码锁的系统结构框图分成两大部分:控制器和处理器。

整个系统的输入信号有一个时钟脉冲CLK ,输出信号有表示开锁,关锁信号的红灯,绿灯以及报警信号SPEAKER。

控制器中的所有按键按下时均为高电平,即高电平有效。

RW 为“密码设定”信号, OK 为“确定”信号, RED=‘0’GREED=‘1’为开锁信号CNT 表示上一位密码正确时,控制器给出的可进行下一位二进制密码比较的信号,OPEN=‘1’为控制器给出的锁开信号, OPEN=‘0’为控制器给出的密码错误信号。

处理器中有一个计数器,计数器C1用是用来记录从第一个按钮触动后的5秒内若未将锁打开(即输入正确密码时间超过5秒),则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

四、设计程序与部分分析:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lock_m isport(key:in std_logic_vector(7 downto 0);clk,clk1,ok,rw: in std_logic;red,green,speaker,rwled,okrw:out std_logic);end lock_m;architecture behav of lock_m issignal ok1,ok2,ok0,prr,start,pdan,rw1,rin:std_logic :='0';signal sbuf1,sbuf2,keyin:std_logic_vector(7 downto 0):="";signal mmst: std_logic_vector(7 downto 0):="";signal cnt:std_logic_vector(2 downto 0):="000";signal cnt1:std_logic_vector(4 downto 0):="00000";beginprocess(clk) ---定义个存储器将密码存放在keyin中beginif clk'event and clk='1' thenkeyin<=key;end if;end process;prr<=pdan or rw1 or rin or ok;st: process(keyin,prr,ok1) -----第一次修改密码beginif ok1='1' then start<='0';elsif (keyin/="" and prr='0') thenstart<='1';else start<='0';end if;end process;inkey: process(key,start,clk) ----输入密码时间进入记时状态beginif clk'event and clk='1' then ok1<='0';ok2<='0';if start='1' then sbuf1<=keyin; ----将密码放入rom1暂存器中if ok='1' then ok2<='1';elsif cnt="100" then cnt<="000" ;ok1<='1'; --5秒到将cnt值零将ok1值置高else cnt<=cnt+'1';end if ;else cnt<="000";sbuf1<=sbuf1; ----当start=‘1’时cnt永远保持‘0’end if;end if;end process;pandan : process(ok,ok1,ok2,clk,start) ---输入密码用于判断密码是否正确beginif ok2='1' or ok1='1' then pdan<='1'; ------开锁信号elsif clk'event and clk='1' thenif pdan='1' thenif cnt1="10011" thenpdan<='0'; --20秒后判断结束,进入等待外部输入状态elsecnt1<=cnt1+'1';end if;elsecnt1<="00000"; ---等待状态时cnt1一直为‘0’end if;end if;end process;comp: process(pdan,rw1,sbuf1,mmst,rin,clk1) -----显示程序beginif pdan='1' then ------开锁信号密码正确if sbuf1=mmst then -----红灯灭,绿灯亮red<='0';green<='1';rwled<='0';speaker<='0';okrw<='0';else ------密码错误red<='1';green<='0';rwled<='0';speaker<=clk1;okrw<='0';end if; ----红灯亮,绿灯灭,elsif rw1='1' then ----第一次修改密码显示状态red<='1';green<='0';rwled<='1';speaker<='0';okrw<='0';elsif rin='1' thenred<='0';green<='0';rwled<='1';speaker<='0'; okrw<='1';elsered<='1';green<='0';rwled<='0';speaker<='0';okrw<='0';end if;end process;rewrite:process(rw,keyin,ok0,clk,start,pdan,rin)beginif clk'event and clk='1' thenif ok0='1' then rw1<='0';elsif keyin="" and pdan='0' and rin='0' thenif rw='1' thenrw1<='1';end if;end if;end if;end process;process(rw1,ok,keyin,clk)beginif clk'event and clk='1' thenok0<='0';sbuf2<=keyin;if rw1='1' thenif ok='1' thenok0<='1';if mmst=sbuf2 thenrin<='1';elserin<='0';end if;end if;elseif rin='1' thenif ok='1' thenmmst<=sbuf2;rin<='0';else mmst<=mmst;end if;else null;end if;end if;end if;end process;end behav;五、仿真波形:(密码正确)密码锁默认的密码为“”,当我们第一次设置的密码为key=””,然后按OK键盘确认。

基于FPGA的电子密码锁设计

基于FPGA的电子密码锁设计

基于FPGA的电子密码锁设计汪浩;陈学英【摘要】设计并实现了一种基于FPGA的电子密码锁,具有解锁、报警、修改密码、死锁等功能。

利用FPGA器件本身具有的并行性和其逻辑电路的本质,实现了高效、可靠的密码锁设计;采用基础电路加模式控制的设计方法,得到了简单稳定且低冗余的电路结构,节省了逻辑资源;提出了一种冗余编码结合掩码加密的硬件加密方法,使得开锁密码在对外部密码存储器读写的过程中难以被泄露,提高了密码锁的安全性。

结果表明,设计的电子密码锁具有稳定高效、简单可靠、安全性高等优点。

%The electronic password lock based on FPGA was designed and implemented. It possesses the functions of un-locking,alarming,password changing and deadlocking. An efficient and reliable password lock was realized by taking the ad-vantages of parallelism and the logic circuit essence of FPGA. A simple,stable and low redundancy circuit structure was achieved with the design method of basis circuit and mode control,which reduced the consumption of logical resource. A hard-ware encryption method of combining redundant coding with mask encryption is proposed,which makes it much more difficult to leak the password during the process of reading or writing the extern password memory,and makes the security of password lock enhanced. The result shows that the electronic password lock possesses the advantages of high-stability,briefness,high-efficiency, high-reliability and high-security.【期刊名称】《现代电子技术》【年(卷),期】2014(000)019【总页数】3页(P157-159)【关键词】FPGA;电子密码锁;模式控制;冗余编码;掩码加密【作者】汪浩;陈学英【作者单位】电子科技大学,四川成都 610054;电子科技大学,四川成都610054【正文语种】中文【中图分类】TN97-34随着人们生活水平的提高,对密码锁的可靠性和安全性也提出了更高的要求。

基于FPGA的电子密码锁的设计

基于FPGA的电子密码锁的设计
系统设置密码后处于初始 状态 S0,并上锁。 按复位键 后可 返回 S0状态 ,此时允许进行口令设置操作。解锁时 ,按解锁键则 转换到解 锁状态 S1,解锁正 确即进入开锁成功 状态 S4 ,系 统解 锁。 按下上锁键后 ,系统重新上锁 ,回到初始状态 S0。 若解锁失 败 ,转换到错误状态 S2,并发出弱报警音 ,用户有 三次机会 重新 输入 口令 ; 口令错 误次数 达到三次 进入死 锁状态 S3,并 发出 强 报警音。
信号控制处理模块的顶层图如图 4所示。左边为输入信号 ,
右边为输出信号。 SD IN 为串行脉冲输入 ,电路内部设计一个十 进制加法器对串行脉冲计数 ,计数值作为口令输入。 trig 为本模 块 时 钟输 入 端口。 Lo ck为 上 锁 键 , SET 为 口令 设 置确 认 键 , CR ACK 为 解锁键 , SET I为解 锁确认 键 , CR为复 位键 ,它们均 为低电平有 效。 sec 端口的每 个上升沿使 得口令显 示在消隐状 态和显示状态之间切换。解锁错误时 , AL ERT 输出高电平作为 报警信号。系统死锁后 , dead lock 输出高电平与 AL ER T报警 信号共同产生强报警信号。 din [3. . . 0]为显nd if;
end pro cess; 程序中 cnt 为编码计数器 ,它的每一个值 代表一种 状态 ,在 不同状态下 enco de端口输出不同的 L ED选择编码 。此编码作为 译 码器 74L S138的地址 输入 ,用 于选择 操作 哪一位 L ED 数码 管。 3电子密码锁的实现 本文设计在 Alter a 公司的开发软件 Quar tus II5. 0软件包上 编 译 仿真 ,并在 Cyclone EP1C6Q240C8上 下载实 现 ,实 际测试 表明系统的各项功能要求均得到满足并且系统工作良好。 本文设计的密码锁克服了基于单片机的密码锁的可靠性较

一种基于FPGA状态机的电子密码锁设计

一种基于FPGA状态机的电子密码锁设计

一种基于FPGA状态机的智能电子密码锁的设计摘要:电子密码锁和普通机械锁相比具有很多优点,广泛适用于商业、办公、个人等应用领域。

本文讨论了一种基于FPGA的电子密码锁的设计方案,软件部分采用状态机描述的方法实现,采用状态机的描述方法,可以使程序的编写清晰简化,具有一定的实用价值。

关键词:电子密码锁;FPGA;状态机1 引言电子密码锁是一种通过输入密码来控制开锁、闭锁的数字电子产品。

和传统机械锁相比,电子密码锁具有许多优点:无需配钥匙,仅需凭密码实现开闭锁;保密性比机械锁高;操作简单,只需要用按键进行控制即可;密码可以反复改变;当密码输入错误还可以进行声光报警等。

电子密码锁的实现方法很多,以芯片为控制核心的密码锁是目前市场上的主流产品,这类产品主要以单片机的控制实现密码锁的主要功能。

EDA 技术是目前数字系统设计的核心和发展方向,本文讨论了一种通过状态机描述实现电子密码锁的设计方法,采用FPGA器件实现核心控制功能,由于FPGA器件具有在线可编程的特点,可以对系统实现升级和扩展。

2 电子密码锁的系统功能要求该电子密码锁可以在FPGA芯片的控制下实现以下功能:(1)系统上电复位后,可通过“设置密码”键,设定6位数字密码。

(2)每输入一位密码,都在LED数码管上进行移位显示。

密码设置好后,按“上锁”键进行上锁操作。

(3)在闭锁状态下,按“核对密码”键,可以输入密码开锁,且每输入一位密码,在数码管上移位显示“-”,提示已输入密码的位数。

(4)输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误三次以内,则系统仍然处于闭锁状态。

(5)密码连续输错三次,蜂鸣器发出警告信号响10秒钟。

3 系统的硬件实现该系统以FPGA芯片作为核心控制部件,实现密码锁的主要功能。

采用4×4矩阵键盘作为数据和控制信号输入,由LED数码管动态显示、蜂鸣器等作为输出部分。

(1)核心控制电路由FPGA芯片作为核心控制部件,实现密码的主要功能。

基于FPGA的电子密码锁的设计汪金涛

基于FPGA的电子密码锁的设计汪金涛

成绩课程设计报告题目:基于FPGA的数字密码锁设计学生姓名:汪金涛学生学号: 32系别:电气信息工程学院专业:电子信息工程届别: 2021届指导教师:李营电气信息工程学院制2021年5月目录1 课程设计的任务与要求 (1)课程设计的任务 (1)课程设计的要求 (1)2 电子密码锁简介 (1)国内外进展和现状 (1)课题研究目的和意义 (2)3 设计方案的制定 (2)设计思路 (2)整体方案设计 (4)密码锁输入电路设计 (4)矩阵式键盘工作原理 (4)密码锁输入电路要紧功能模块设计 (5)密码锁操纵电路设计 (7)密码锁显示电路设计 (8)Quartus Ⅱ软件引脚配置 (9)4 系统时序仿真结果 (9)5 总结与体会 (10)总结 (10)体会 (11)6参考文献 (11)附录 (13)基于FPGA的数字密码锁设计学生:汪金涛指导教师:李营电气信息工程学院电子信息工程专业1 课程设计的任务与要求课程设计的任务通用的电子密码锁要紧由三个部份组成:数字密码输入电路、密码锁操纵电路和密码锁显示电路。

(1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳排除电路、键盘译码电路等几个小的功能电路。

(2)密码锁操纵电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄放器清除信号发生电路),密码查对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。

(3)密码显示电路要紧将显示数据的BCD码转换成相对应的编码。

如,假设选用七段数码管显示电路,要紧将待显示数据的BCD码转换成数码器的七段显示驱动编码。

课程设计的要求设计一个具有较高平安性和较低本钱的通用电子密码锁,具体功能要求如下:(1)数码输入:每按下一个数字键,就输入一个数值,并在显示器上的显示出该数值,同时将先前输入的数据依序左移一个数字位置。

(2)数码清除:按下此键可清除前面所有的输入值,清除为“0000”。

(3)密码更改:按下此键时会将目前的数字设定成新的密码。

基于FPGA的电子密码锁的研究与设计

基于FPGA的电子密码锁的研究与设计

论文题目:基于FPGA的电子密码锁设计摘要普通的机械锁保密性不好,防盗性差,而且必须使用钥匙。

但是,基于FPGA设计的电子密码锁与普通的机械锁比较来说,就克服了这些不足之处。

该论文主要描述的是一种电子密码锁的设计方法,这种电子密码锁是基于现场可编程门阵列(FPGA)的电子密码锁。

由FPGA构造的电子密码锁系统中,硬件电路可以实现所有的算法,大幅度提高了西戎的工作可靠性。

为了提高设计的效率,可以在更改设计时只更改FPGA中的控制和接口电路,这是因为FPGA的现场可编程功能,也正是利用这一点,可以将更新后的设计下载到FPGA中,省去了更改外部电路设计的麻烦。

所以,这样的系统既可以使工作的可靠性增强也可以更加方便的升级。

系统所实现的功能:用户给电子密码锁设定一个密码,当使用本机键盘开锁时,该密码与用户设定的密码比较,如果密码正确,则开锁;如果密码不正确,用LED灯报警;允许用户重新输入密码。

关键词电子密码锁;FPGA;硬件描述语言;EDAAbstractFPGA-based design of electronic locks is a small digital system,compared with ordinary mechanical locks,has many unique advantages:confidentiality,security and strong,you can not have the keys,remember a password to unlock.This paper describes an approach based on field programmable gate array(FPGA)devices electronic locks design.Construct systems with FPGA devices,all algorithms entirely by hardware circuit to achieve,making the work system reliability greatly improved.As the FPGA with field-programmable function,when you need to change the design,just change the FPGA control and interface circuitry using EDA tools designed updated downloaded to the FPGA without the need to change the external circuit design,greatly improving the design efficiency.Therefore,the use of digital FPGA development system,not only has high reliability,but also extremely easy to upgrade.System implemented features:electronic locks user to set a password when using the keyboard lock,compared with the password set by the user's password,if the password is correct,then unlock;If the password is incorrect,the speaker alarm,allows the user to re- enter the password.Key wordsElectronic locks;FPGA;Hardware Description Language;EDA目录摘要 (I)Abstract (II)前言 (1)第一章概述 (2)1.1 课题背景 (2)1.2 课题研究的目的和意义 (2)1.3 国内外现状 (3)1.4 课题的主要研究工作 (4)第二章相关知识介绍 (5)2.1 FPGA的相关介绍 (6)2.1.1 可编程逻辑器件 (6)2.1.2 FPGA简介 (7)2.1.3 FPGA应用特点 (7)2.1.4 FPGA的设计流程 (9)2.2 硬件描述语言Verilog (11)2.2.1 Verilog语言简介 (11)2.2.2 Verilog语言的优点 (11)2.2.3 Verilog语言的基本结构 (12)2.3 QuartusⅡ软件开发工具 (12)第三章电子密码锁的总体设计 (14)3.1 设计要求 (14)3.2 系统原理框图 (14)3.3 系统主控制流程框图 (15)第四章电子密码锁的软件设计 (17)4.1 主要功能模块设计 (17)4.1.1 输入模块 (18)4.1.2 显示模块 (19)4.3 电子密码锁的仿真 (19)第五章电子密码锁的硬件实现 (24)5.1 电子密码锁的硬件模块实现 (24)5.2 硬件设备 (24)5.3 硬件的实现 (25)结论 (27)参考文献 (28)致谢 (30)前言基于FPGA的电子密码锁是新型现代化安全管理系统,微机自动识别技术和现代安全管理措施技术,包括生物技术、通信技术、电子和机械电等诸多新技术都在其中体现,重要部门出入口安全防范问题因此得到了解决。

《基于VHDL语言和FPGA的电子密码锁》范文

《基于VHDL语言和FPGA的电子密码锁》范文

《基于VHDL语言和FPGA的电子密码锁》篇一一、引言随着科技的发展,电子密码锁已逐渐取代传统的锁具,成为了安全领域的重要组成部分。

而作为电子密码锁核心技术之一的FPGA(现场可编程门阵列)技术,以及VHDL语言编程的灵活性,更是对密码锁设计起到了重要的推动作用。

本文旨在详细探讨基于VHDL语言和FPGA的电子密码锁的设计与实现。

二、VHDL语言与FPGA概述VHDL(VHSIC Hardware Description Language)是一种硬件描述语言,用于描述电子系统的结构和行为。

而FPGA则是一种可编程逻辑器件,其内部由许多可配置的逻辑单元组成,通过编程可以实现各种复杂的数字电路功能。

将VHDL语言与FPGA相结合,可以实现电子密码锁的高效、灵活和可定制的设计。

三、电子密码锁的设计要求在基于VHDL语言和FPGA的电子密码锁设计中,首先需要明确设计要求。

这些要求包括:高安全性、易于使用、良好的扩展性以及低成本等。

此外,密码锁应能抵抗各种常见的攻击方式,如暴力破解等。

四、基于VHDL的密码锁设计与实现基于VHDL语言的电子密码锁设计主要分为几个模块:密码输入模块、解码模块、控制模块和输出模块等。

密码输入模块负责接收用户输入的密码;解码模块对输入的密码进行解码,判断其是否正确;控制模块根据解码结果控制输出模块的开关状态;而整个系统则由FPGA实现。

在VHDL编程中,需要详细描述各个模块的功能、接口以及它们之间的通信方式。

例如,密码输入模块应能接收一定长度的密码输入,并将其传递给解码模块。

解码模块则根据预设的算法对密码进行解码,并将结果传递给控制模块。

控制模块根据解码结果控制输出模块的开关状态,以实现密码锁的开启或关闭。

五、FPGA的实现与优化在FPGA上实现电子密码锁时,需要利用FPGA的编程工具进行编程和配置。

首先,将VHDL代码编译成可在FPGA上运行的二进制代码;然后,将二进制代码下载到FPGA中,实现对电子密码锁的硬件配置。

-基于FPGA的电子密码锁的设计

-基于FPGA的电子密码锁的设计

本科毕业设计基于FPGA的电子密码锁的设计摘要随着现代电子技术的迅猛发展,相信电子密码锁将会逐渐取代普通的机械密码锁。

主要是由于电子密码锁拥有几个普通机械密码锁不可能替代的优点:保密系能好、安全度高、即防盗性能强,不需要使用钥匙、即记住密码就可开锁等等。

本文主要阐述了一种基于现场可编程门阵列FPGA器件的电子密码锁的设计方法。

用FPGA器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。

而且由于FPGA具有现场可编程功能,当设计需要更改时,只需更改FPGA中的控制和接口电路,利用EDA 工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。

因此,采用FPGA开发的数字系统,不仅具有很高的工作可靠性,而且升级也极其方便。

此设计采用EDA技术,利用MAX+PLUSⅡ工作平台和VHDL硬件描述语言,设计了一种电子密码锁,并通过本学院的实验箱FPGA芯片实现。

关键词:电子密码锁FPGA VHDL硬件描述语言EDA MAX+PLUSⅡThe Design of Electronic Locks Based on FPGAWu Yaoxiao(College of Engineering, South China Agricultural University, Guangzhou 510642, China) Abstract:With the rapid development of modern electronic technology, electronic locks will gradually replace the ordinary mechanical locks. Confidential Department has the advantages of several ordinary mechanical locks can not substitute electronic locks, such as high security, anti-theft performance, and does not require the use of a key, Remember password can unlock. This article focuses on the design method which is based on field programmable gate array (FPGA) devices, electronic locks. FPGA device constructed system, all of the algorithms completely realized by a hardware circuit so that the operational reliability of the system can be greatly improved. And with field-programmable function, when it need to change the methods of the design, just change the FPGA control and interface circuit with using EDA tools updated design that is downloaded to the FPGA without the need to change the external circuit design, greatly improving the efficiency of the design. Therefore, the use of digital systems developed by the FPGA, not only has a very high operating reliability, but also extremely easy to upgrade. The design of the system uses the EDA technology, MAX+PLUSⅡsoftware and VHDL hardware description language, designed an electronic lock, and implemented by the Institute of experimental box FPGA chip.Keywords: electronic locks FPGA VHDL hardware description language EDAMAX + PLUS II目录1 前言 01.1 EDA技术及其发展 01.2 电子密码锁的国内外发展状况 01.3 电子密码锁的重要性 (1)2 MAX+PLUSⅡ软件环境概述 (2)2.1 MAX+PLUSⅡ设计流程 (2)2.2 MAX+PLUSⅡ支持的硬件描述语言 (3)2.3 MAX+PLUSⅡ工作过程 (4)3 硬件环境FPGA的工作原理及其结构 (5)3.1 FPGA的基本结构 (5)3.2 FPGA的设计流程 (6)4 基于FPGA电子密码锁系统的基本描述 (8)4.1 电子密码锁的系统的方案比较和选择 (8)4.2 基于FPGA的电子密码锁的设计的思路 (9)4.3 本系统设计的目的和意义 (9)5 基于FPGA电子密码锁系统的仿真和分析 (10)5.1 底层文件仿真和分析 (10)5.1.1 扫描时钟产生模块 (10)5.1.2按键去抖模块 (10)5.1.3键盘扫描模块 (11)5.1.44*4键盘编码及其按键功能的设置模块 (12)5.1.5数码管显示模块 (13)5.1.6 比较判断和功能描述模块 (15)5.2 顶层文件仿真和分析 (16)6 结论 (17)参考文献 (18)附录 (18)致谢 (33)华南农业大学本科生毕业设计成绩评定表1 前言1.1 EDA技术及其发展在计算机技术的推动下,20世纪末,电子技术获得了飞速的发展,现代电子产品几乎渗透于社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时又促使现代电子产品性能的进一步提高,产品更新换代的节奏也越来越快(潘松,等,2005)。

基于FPGA电子密码锁门禁系统的设计

基于FPGA电子密码锁门禁系统的设计

海南大学毕业论文(设计)题目:基于FPGA电子密码锁门禁系统的设计学号:201328343200xx姓名:xxx年级:2013级学院:热带农林学院(信息管理学院)专业:电子科学与技术专业指导教师:xxx完成日期:年月日摘要经过市场调查,电子密码锁的性能与安全性已经远超传统机械锁,而且消费者也越来越能够倾向于电子密码锁的使用。

随着芯片的快速发展,电子密码锁的性能日益提高,价格也变得更便宜。

电子密码锁倍受青睐的原因是它有能够根据个人需求订制特定的功能,如电子锁最基本的功能有:保密功能,密码错误警报,修改密码等;另外,还可按照消费者需求外加功能,如:火灾警报,弱电提醒电量不足,自动上锁等。

现在科技进步飞速,生产商只需要基于芯片以及简单电路就能制造出性价比较高的电子锁。

总之,电子密码锁逐渐会适用在每个家庭之中。

市面上存在一些基于单片机的密码锁,其安全性和性能必定远不及基于FPGA。

因为单片机运行速度慢,逻辑性差,所以不利于将电子密码锁集成到大型的门禁系统当中;相反,FPGA则克服了这些缺点,而且其具有处理更复杂功能的能力,能够实现更多的功能。

经过市场调查后发现,基于FPGA的电子密码锁具有功能实用且多样化,超高性能,较低成本等特点,具有一定的实际应用价值。

关键词:现场可编程门阵列;电子密码锁;程序仿真;AbstractAccording to the market research, electronic code locks have laid over traditional mechanical locks in performance and safety, which prompt consumers to use electronic code locks much more likely than ever before.With the rapid development of the chips, electronic code locks become much more accessible and acceptable both in performance and price. What’s more, here are a few reasons for electronic code locks’popularity. For one thing, nowadays, electronic code locks can be customized specifically according to individual needs. For instance, confidentiality function, password error alert and changing password, etc. For another, there are more functions which can be applied accordingly, such as fire alarming, reminding the lack of electricity and automatic locking,etc. Thanks to the significant progress of technology, some manufacturers are able to produce cost-effective electronic locks which are simply based on chips and circuits. In short, the electronic code lock will apply to each family gradually.At present, some code locks based on the micro-controller in the market cannot hold a candle to those which are based on FPGA both in performance and safety. Since micro-controller is poor in speed and logic, it’s not suitable to apply such code locks to large-scale access systems. On the contrary, FPGA can overcomes such shortcomings effectively. Besides, it has the ability to process complex instructions which may benefit to implementing more functions. To sum up, it can be concluded according to the market research that electronic code locks, based on FPGA possess the characteristics of functional, diversified, high-performance and low-cost, which have the practical application value.Keywords:FPGA(Field-Programmable Gate Array);electronic code lock;simulation;目录1 绪论 (1)1.1电子密码锁的发展趋势 (1)1.2 电子密码锁发展背景及国内外现状 (2)1.3 设计的目的与意义 (2)1.4 设计方案对比 (2)1.5 设计内容与要求 (3)2 系统硬件综述 (4)2.1 系统设计方案 (4)2.2 电子密码锁系统组成 (4)2.3 主控模块 (5)2.3.1 Cyclone器件的介绍 (5)2.4 键盘模块电路设计 (5)2.4.1 矩阵键盘的设计与原理 (5)2.4.2 键盘具体操作说明 (6)2.5 显示模块电路设计 (6)2.5.1 LCD1602的简介 (6)2.5.2 显示模块原理 (7)2.6 存储模块电路设计 (8)2.6.1 24C02的简介 (8)2.6.2 存储模块原理 (9)2.7 报警电路设计 (9)3 系统软件设计与仿真 (10)3.1 分频部分程序设计 (10)3.2 键盘驱动部分 (10)3.2.1 键盘驱动程序设计 (10)3.2.2 键盘驱动程序仿真 (13)3.3 蜂鸣器驱动部分 (14)3.3.1 蜂鸣器驱动程序设计 (14)3.3.2 蜂鸣器驱动程序仿真 (14)3.4 LCD1602驱动部分 (15)3.4.1 LCD1602驱动程序设计 (15)3.4.2 LCD1602驱动程序仿真 (19)4 系统实现 (20)4.1 系统功能验证 (20)4.2 结论 (22)致谢 (23)参考文献 (25)附件 (27)1 绪论1.1电子密码锁的发展趋势在当今社会,防止盗贼入室,加强防盗工作已经成为每家每户的必要工作。

毕业设计--基于FPGA的电子密码锁的设计论文(带仿真)

毕业设计--基于FPGA的电子密码锁的设计论文(带仿真)

摘要基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比, 具有许多独特的优点: 保密性好, 防盗性强, 可以不用钥匙, 记住密码即可开锁等。

目前使用的电子密码锁大部分是基于单片机技术, 以单片机为主要器件, 其编码器与解码器的生成为软件方式。

在实际应用中, 由于程序容易跑飞, 系统的可靠性能较差。

本文介绍一种基于现场可编程门阵列FPGA 器件的电子密码锁的设计方法采用VHDL语言对系统进行描述,并在FLEX10K10LC84-4上实现。

系统所实现的功能:用户给电子密码锁设定一个密码,当使用本机键盘开锁时,该密码与用户设定的密码比较,如果密码正确,则开锁;如果密码不正确,则允许用户重新输入密码,最多可输入三次,若三次都不正确,则扬声器报警,直到按复位键才允许再次输入代码。

通过仿真调试,利用可编程器件FPGA的电子密码锁的设计基本达到了预期目的。

当然,该系统在一些细节的设计上还需要不断的完善和改进,特别是对系统的扩展有很好的实用和设计的价值。

关键词:现场可编程门阵列, 硬件描述语言, 电子密码锁, 扬声器AbstractFPGA-based design of the electronic code lock is a small digital system, electronic password lock compared with ordinary mechanical locks, with many unique advantages: good privacy, security and strong, can not key to remember passwords to unlock, and so on. At present the use of the electronic code lock on most of SCM technology to SCM as the main devices, encoders and decoders of Health and the software approach. In practice, since the process easy run to fly, the reliability of the system can be poor. Based on this paper, a field programmable gate arrays FPGA devices electronic password lock design, VHDL language used to describe the system and achieve FLEX10K10LC84-4.Implementation of the system features: users of electronic password lock to set a password, when using the keyboard unlock the machine, the user password and set the password, if the correct password, then unlock if the password is not correct, allows Users to enter a password, can enter up to three times, three times if not correct, the speaker alarm, until the reset button only allowed to re-enter the code.The simulation tests using programmable devices FPGA electronic password lock the basic design to achieve the expected goal. Of course, some of the details of the system in the design of the need to constantly refined and improved, in particular the expansion of the system have a good design and practical value.Key words:FPGA , VHDL, electronic password lock , speaker目录摘要 (I)ABSTRACT (II)目录 (III)1绪言 (1)1.1课题背景 (1)1.2课题研究的目的和意义 (1)1.3国内外概况 (2)1.4课题的主要研究工作 (3)2FPGA的相关介绍 (4)2.1可编程逻辑器件 (4)2.2FPGA的简介 (4)2.3FPGA的应用特点 (5)2.4FPGA的应用前景 (6)3基于FPGA设计的硬件描述语言VHDL (8)3.1VHDL语言简介 (8)3.2VHDL语言的特点 (8)3.3VHDL语言的优点 (8)3.4 VHDL语言的基本结构 (9)3.5VHDL语言的应用 (10)4系统设计方案的研究 (11)4.1系统功能需求分析 (11)4.2系统实现方案的论证比较 (11)4.3系统方案的总体设计 (11)4.3.1系统原理框图 (11)4.3.2总体设计原理 (12)5系统硬件设计 (13)5.1系统的硬件模块实现 (13)5.2基于FPGA的设计 (13)5.2.1主控芯片EPF10K10LC84-4的介绍 (13)5.2.2FPGA逻辑功能结构及开发 (14)5.3键盘控制电路结构与原理 (14)5.4LED显示器结构与原理 (15)5.5报警电路 (17)6系统的软件设计 (18)6.1系统主控制流程框图 (18)6.2键盘扫描程序框图 (19)6.3获取键值程序框图 (20)6.4解码、报警模块 (21)7系统的原理实现 (22)7.1系统的层次化设计 (22)7.2顶层图形设计 (22)7.3系统仿真演示结果 (23)总结与展望 (24)致谢 (25)参考文献 (26)附录 (28)1 绪言系统以利用可编程器件实现电子密码锁的设计为研究背景、现状以及发展方向,明确指出了电子密码锁面临的问题和所解决的方法。

基于fpga的数字密码锁设计与实现

基于fpga的数字密码锁设计与实现

一、概述在当今信息技术高度发达的时代,数字密码锁已成为人们生活中常见的安全保障措施之一。

随着FPGA(可编程逻辑门阵列)技术的不断成熟和普及,基于FPGA的数字密码锁设计与实现已经成为一个备受关注的研究方向。

本文将探讨基于FPGA的数字密码锁的设计原理、实现过程以及相关技术细节,为相关领域的研究和应用提供参考。

二、数字密码锁的基本原理1.数字密码锁的基本功能数字密码锁是一种利用密码验证来进行身份识别和门禁控制的设备。

其基本功能包括输入密码、密码验证和门禁控制等。

2.数字密码锁的工作原理数字密码锁通常由键盘、控制单元和执行单元等组成,其工作原理是用户通过键盘输入密码,控制单元接收并验证密码的正确性,然后执行单元根据验证结果控制门禁的开启或关闭。

三、基于FPGA的数字密码锁设计1.基于FPGA的数字密码锁的优势相比传统的基于单片机或嵌入式系统的数字密码锁,基于FPGA的数字密码锁具有更高的灵活性和可扩展性。

FPGA可以根据实际需求进行灵活的硬件逻辑设计,同时兼容多种通信协议和接口,使得其在数字密码锁设计中具有显著的优势。

2.基于FPGA的数字密码锁的设计原理基于FPGA的数字密码锁主要包括密码输入模块、密码验证模块和门禁控制模块。

密码输入模块负责接收用户输入的密码,密码验证模块根据预设的密码进行验证,门禁控制模块根据验证结果控制门禁的开启或关闭。

3.基于FPGA的数字密码锁的设计流程(1)确定需求:明确数字密码锁的功能和性能要求。

(2)硬件设计:设计数字密码锁的硬件逻辑,包括键盘接口、密码验证逻辑和门禁控制逻辑。

(3)软件设计:设计数字密码锁的用户界面和控制逻辑。

(4)综合与实现:将硬件和软件进行综合,实现数字密码锁的功能。

四、基于FPGA的数字密码锁的实现1.硬件设计(1)键盘接口设计:采用矩阵式键盘接口,利用FPGA内部的GPIO 接口进行连接。

(2)密码验证逻辑设计:采用逻辑门设计密码验证逻辑,包括密码存储、密码输入和密码比对等功能。

电子密码锁论文

电子密码锁论文

科学技术学院SCIENCE & TECHNOLOGY COLLEGE OFNANCHANG UNIVERSITY《专业综合实验与设计》报告REPORT ON INTEGRATED EXPERIMENT & DESIGN题目基于FPGA的电子密码锁设计学科部、系:信息学科部专业班级:06电子信息工程(3)班学号:7020906104学生姓名:艾雷鸣指导教师:胡保安樊晓星起讫日期:2009-11-16——2009-11-27基于FPGA的电子密码锁设计第一章设计目的1.1 掌握并能设计一个电子技术综合问题,并在微型机上实现仿真;1.2 能通过课程设计使我们熟练运用VHDL语言,基本掌握可编程器件的运用;1.3 掌握各类计数器及将它们相连的方法;1.4 掌握多个数码管动态显示的原理与方法;1.5 掌握用FPGA技术的层次化设计方法;第二章设计任务要求设计的电子密码锁密码为 4 位,包含数据输入键、数码清除键、密码更改键、激活电锁键、解除电锁键,各个键的功能如下:1.1 数据输入键:每按一个数字键,就输入一个数值,并在显示器上的最右方显示出该数值,并将先前已经输入的数据依序左移一个数字位置。

1.2 数码清除键:按下此键可清除前面所有的输入值,清除成为“0000”。

1.3 密码更改键:按下此键时将目前的数字设定成新的密码。

1.4 激活电锁键:按下此键可将密码锁上锁,上锁灯亮。

1.5 解除电锁键:按下此键会检查输入的密码是否正确,密码正确即开锁,开锁灯亮。

第三章设计方案由功能分析,该电子密码锁应由三大部分组成,每一部分又包含了若干子电路,将各电路组合起来,就构成了一个整体。

下面分别对各部分进行讨论:1.1 矩阵键盘接口电路的设计实验仪器中 4×8 矩阵键盘的电路原理图如图一所示。

扫描信号为BCOM[8..1],在BCOM[8..1]前已接有一个3-8 译码器,3-8 译码器的输入为SEL0~SEL3。

课程设计报告-基于fpga的电子密码锁的设计

课程设计报告-基于fpga的电子密码锁的设计

课程设计报告-基于fpga的电子密码锁的设计
一、引言
随着计算机技术的发展,传统的安全锁逐渐淡出人们的视野,取而代之的是一种更加
先进而安全的电子密码锁。

采用FPGA开发的电子密码锁在现代实用锁中变得越来越常见,它能够实现简单易懂、稳定可靠的安全锁功能,从而满足人们对安全问题日益严峻的需求。

本文主要介绍基于FPGA技术设计的电子密码锁的原理及实际应用,旨在为技术研究和产
品设计提供有价值的参考。

二、系统概述
电子密码锁是一种可以根据用户的输入及系统的设置的安全保护锁,它主要由输入接口、控制器、显示屏和锁具电源等组成。

基于FPGA设计的电子密码锁主要分为输入端处
理和控制端处理两部分,其中,输入端利用FPGA控制集成电路(IC)、有源转换器和输入/
输出连接器,实现密码锁的输入模块部分的处理功能;控制端利用FPGA实现温度检测和
按键、加密算法、记录密码信息等功能,满足密码锁的控制要求。

三、工作原理
电子密码锁工作原理主要是建立在输入接口和控制器之间的信息传输上。

当用户通过
输入接口输入密码时,控制器收到输入信号,利用自身中内置的加密算法,将输入的密码
信息和系统设置密码进行比对,如果密码正确,系统会将密码锁打开,从而使得受保护的
物品得到有效的安全保护。

四、总结
基于FPGA技术设计的电子密码锁为现代安全保护提供了一种先进的途径,其安全性
和可靠性得到了极大的提高,使得大多数受保护物品得到有效保护。

电子密码锁以及FPGA 技术的发展正在为我们日常生活与工作提供前所未有的便利,也将带给未来更多安全的思
考与希望。

毕业设计论文_基于FPGA电子密码锁设计

毕业设计论文_基于FPGA电子密码锁设计

毕业设计论文基于FPGA电子密码锁设计摘要随着电子技术的发展,具有防盗报警等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。

电子密码锁与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。

目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。

在实际应用中,由于程序容易跑飞,系统的可靠性能较差。

本文主要阐述了一种基于现场可编程门阵列FPGA器件的电子密码锁的设计方法。

用FPGA器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。

由于FPGA具有现场可编程功能,当设计需要更改时,只需更改FPGA 中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。

因此,采用FPGA开发的数字系统,不仅具有很高的工作可靠性,而且升级也极其方便。

本文采用EDA技术,利用Quartus II工作平台和硬件描述语言,设计了一种电子密码锁,并通过一片FPGA芯片实现。

关键词:电子密码锁;FPGA;硬件描述语言;EDAAbstractWith the development of electronic technology, electronic password lock with burglar alarm and other functions replacing less password and poor security mechanical code lock is an inevitable trend. compared electronic password lock with ordinary mechanical locks, it has many unique advantages :confidentiality, and security in nature, do not use the key, remember password can unlock it etc .Most electronic password locks we used now is based upon SCM technology ,SCM is its mainly device ,and the creating of encoding and decoding devices is the fashion of Software mode. In practical application, the reliability of the system may be worse because of easy running fly of the programme.This paper mainly expatiates a design method of electronic password lock based upon Field Programmable Gate Array device. We use FPGA devices to construct system , all of the algorithm entirely achieved by the hardware circuit , because of FPGA has the function of ISP , when the design needs to be changed We only need to change the control and interface circuit of FPGA,EDA tools are used to download the updated design to FPGA without changing the design of the external circuit , this greatly enhance the efficiency of the design .Therefore , we use FPGA to empolder the digital system has not only high reliability but also extremely convenient of upgrading and improvement .In this paper ,we use EDA technology , Quartus II platform and hardware description language designing an electronic password lock ,and it achieved through an FPGA chip.Key words:electronic password lock;FPGA;hardware description language;EDA目录1 绪论(标题部分的格式很多不对,检查修改) (1)1.1 本课题研究的国内外现状及其发展 (2)1.2 本课题研究的目的和意义 (2)2 关键技术简介 (4)2.1 FPGA硬件设计描述 (4)2.1.1FPGA的设计流程 (4)2.1.2VHDL语言的基本结构 (6)2.1.3自上而下(TOP DOWN)的设计方法 (7)2.2 设计语言、仿真平台与开发系统 (8)2.3 用QuartusⅡ进行系统开发的设计流程 (8)3 系统总体设计 (9)3.1 电子密码锁设计的原理 (9)3.2 方案的提出 (10)3.3 系统设计要求 (11)3.4 系统设计描述 (11)3.5 各功能模块描述 (12)3.6 系统流程 (13)4 系统详细设计 (15)4.1 输入模块 (15)4.1.1时序产生电路 (16)4.1.2按键消抖电路 (17)4.1.3键盘扫描电路 (20)4.1.4键盘译码电路 (21)4.1.5键盘输入模块的实现 (22)4.2 电子密码锁控制模块 (23)4.2.1控制模块的描述 (23)4.2.2控制模块的状态图与ASM图 ................................. 错误!未定义书签。

基于FPGA的电子密码锁设计

基于FPGA的电子密码锁设计

一、前言电子密码锁的使用体现了人们的消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。

目前设计密码锁的方法很多,例如传统的PCB板设计、用PLC设计或者单片机设计等等。

而用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法。

VHDL是一种符合IEEE标准的硬件描述语言,其最大的特点是借鉴高级程序语言的功能特性,对电路的行为与结构进行高度的抽象化、规范化的形式描述,并对设计的不同层次、不同领域的模拟验证与综合优化等处理,使设计过程延到高度自动化。

二、方案设计密码锁的的构成主要由密码输入、密码设置、比较控制和报警部分组成,此外密码锁必须能够保存已设置的密码,因而需要一个寄存器来保存密码,同时由于控制电路和报警电路要用到不同的时钟,因而需要一个时序产生电路来生成需要的不同信号,采用分频方法实现。

根据密码锁的电路特点,选用的是实验箱的模式6电路,根据电路功能,在这里设计的密码锁以4位2进制代码作为密码的电子密码锁。

其原理框图如下所示:图一、电子密码锁原理框图三、功能模块的实现1、时序电路在密码锁的电路中,输入计时、报警计时需要的1HZ的时钟脉冲信号,而驱动蜂鸣器工作需要的很高频率的脉冲信号,因而这里采用输入一个高频脉冲(1024HZ)信号来驱动蜂鸣器,采用分频的方法得到1HZ的计时脉冲,程序如下:process(clk_1k)variable cnt1:integer:=0;beginif rising_edge(clk_1k) thenif cnt1=512 thenclk_1〈=not clk_1;cnt1:=0;else cnt1:=cnt1+1;end if;end if;end process;程序说明:clk_1k为输入的1024HZ高频脉冲,cnt为分频得到的1HZ计时脉冲。

2、密码设置为了安全性,密码锁必须能够重复的设定密码,在设定密码锁后,应该设置一个寄存器来存储设定的密码,程序如下:process(rst,password,enter_p) isbeginif rst='0’ thenif enter_p=’1' thenram〈=password;en1<='1';end if;end if;end process;程序说明:rst低电平时所有的模块复位,只有密码设置模块工作;password为设置密码时的输入端,ram就是存储密码的寄存器;enter_p为在这里为密码设置使能端,高电平有效.密码设置的仿真波形如下图,由图中可以清楚地看到在enter_p高电平时寄存器ram中得到了输入的密码1001。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

摘要随着电子技术的发展,具有防盗报警等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。

电子密码锁与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。

目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件,其编码器与解码器的生成为软件方式。

在实际应用中,由于程序容易跑飞,系统的可靠性能较差。

本文主要阐述了一种基于现场可编程门阵列FPGA器件的电子密码锁的设计方法。

用FPGA器件构造系统,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高。

由于FPGA具有现场可编程功能,当设计需要更改时,只需更改FPGA 中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。

因此,采用FPGA开发的数字系统,不仅具有很高的工作可靠性,而且升级也极其方便。

本文采用EDA技术,利用Quartus II工作平台和硬件描述语言,设计了一种电子密码锁,并通过一片FPGA芯片实现。

关键词:电子密码锁;FPGA;硬件描述语言;EDAAbstractWith the development of electronic technology, electronic password lock with burglar alarm and other functions replacing less password and poor security mechanical code lock is an inevitable trend. compared electronic password lock with ordinary mechanical locks, it has many unique advantages :confidentiality, and security in nature, do not use the key, remember password can unlock it etc .Most electronic password locks we used now is based upon SCM technology ,SCM is its mainly device ,and the creating of encoding and decoding devices is the fashion of Software mode. In practical application, the reliability of the system may be worse because of easy running fly of the programme.This paper mainly expatiates a design method of electronic password lock based upon Field Programmable Gate Array device. We use FPGA devices to construct system , all of the algorithm entirely achieved by the hardware circuit , because of FPGA has the function of ISP , when the design needs to be changed We only need to change the control and interface circuit of FPGA,EDA tools are used to download the updated design to FPGA without changing the design of the external circuit , this greatly enhance the efficiency of the design .Therefore , we use FPGA to empolder the digital system has not only high reliability but also extremely convenient of upgrading and improvement .In this paper ,we use EDA technology , Quartus II platform and hardware description language designing an electronic password lock ,and it achieved through an FPGA chip.Key words:electronic password lock;FPGA;hardware description language;EDA目录1 绪论(标题部分的格式很多不对,检查修改) (1)1.1 本课题研究的国内外现状及其发展 (2)1.2 本课题研究的目的和意义 (2)2 关键技术简介 (4)2.1 FPGA硬件设计描述 (4)2.1.1FPGA的设计流程 (4)2.1.2VHDL语言的基本结构 (6)2.1.3自上而下(TOP DOWN)的设计方法 (7)2.2 设计语言、仿真平台与开发系统 (8)2.3 用QuartusⅡ进行系统开发的设计流程 (8)3 系统总体设计 (9)3.1 电子密码锁设计的原理 (9)3.2 方案的提出 (10)3.3 系统设计要求 (11)3.4 系统设计描述 (11)3.5 各功能模块描述 (12)3.6 系统流程 (13)4 系统详细设计 (15)4.1 输入模块 (15)4.1.1时序产生电路 (15)4.1.2按键消抖电路 (15)4.1.3键盘扫描电路 (17)4.1.4键盘译码电路 (19)4.1.5键盘输入模块的实现 (20)4.2 电子密码锁控制模块 (21)4.2.1控制模块的描述 (21)4.2.2控制模块的状态图与ASM图 (21)4.2.3控制模块的实现 (23)4.3 电子密码锁显示模块 (24)4.3.1数码管显示原理 (24)4.3.2译码显示的实现 (27)5 系统仿真 (28)5.1 系统的有关编译与仿真 (28)5.2 去抖模块的仿真 (28)5.3 密码锁输入电路的仿真 (29)5.4 密码锁控制电路的仿真 (30)5.5 系统整体仿真 (31)5.6 数码管译码器仿真 (32)6 .结束语 (33)致谢 ..................................................................................................... 错误!未定义书签。

参考文献 .. (34)绪论随着大规模和超大规模可编程器件在FPGA技术支持下的广泛应用,使现代化设计计算已进入一个全新的阶段,从设计思想、设计工具一直到实现方式都发生了诸多变化。

在FPGA技术中,最为引人瞩目的是以现代电子技术为特征的逻辑设计仿真测试技术。

改技术只需通过计算机就能对所设计的电子系统从不同层次的性能特点上进行一些列准确测试和仿真;在完成实际系统的设计后,还能对系统上的目标器件进行边界扫描测试。

随着技术的发展,科技的日趋夜新电子密码锁种类比较多,发展更是快。

电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放多组开锁密码,用户在使用过程中能够随时修改开锁密码,更新或配制钥匙里开锁密码。

一把电子锁可配制多把钥匙。

下面就是现在主流电子密码锁。

遥控式电子防盗锁目前常见的遥控式电子防盗锁主要有光遥控和无线电遥控两类。

键盘式电子密码锁从目前的技术水平和市场认可程度看,使用最为广泛的是键盘式电子密码锁,该产品主要应用于保险箱、保险柜和金库,还有一部分应用于保管箱和运钞车。

卡式电子防盗锁使用各种“卡”作为钥匙的电子防盗锁是当前最为活跃的产品,无论卡的种类如何多种多样,按照输入卡的操作方式,都可分为接触式卡和非接触式卡两大类。

生物特征防盗锁人的某些与生俱来的个性特征(如手、眼睛、声音的特征)几乎不可重复,作为“钥匙”就是唯一的(除非被逼迫或伤害),因此,利用生物特征做密码的电子防盗锁,也特别适合金融业注重“验明正身”的行业特点。

本文介绍的基于FPGA的电子密码锁电路具有显示接口,显示时可以是明文也可以是密文星号。

由于FPGA具有ISP功能,当用户需要更改时,如增加口令位数和更改口令权限管理时,只需更改FPGA中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路,这就大大提高了设计效率。

而且采用FPGA设计的电子密码锁与单片机控制的电子密码锁相比结构简单、具有更高的系统保密性和可靠性。

这种基于FPGA的电子密码锁可以应用在办公室、仓库、宾馆等人员经常变动的场所。

FPGA技术是现代电子工程领域的一门新技术,提供了基于计算机和信息技术的电路系统设计的方法。

它是从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)等技术发展而来的。

设计者只需要对系统功能进行描述,在FPGA工具的帮助下即可完成系统设计,从而为电子产品的设计和开发缩短了实践降低了成本,提高了系统的可靠性。

1.1本课题研究的国内外现状及其发展随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。

锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。

随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。

据有关资料介绍,电子密码锁的研究从20世纪30年代就开始了,在一些特殊场所早就有所应用。

这种锁是通过键盘输入一组密码完成开锁过程。

研究这种锁的初衷,就是为提高锁的安全性。

由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。

电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。

电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC卡锁,生物锁等。

但较实用的还是按键式电子密码锁。

20世纪80年代后,随着电子锁专用集成电路的出现,电子锁的体积缩小,可靠性提高,成本较高,是适合使用在安全性要求较高的场合,且需要有电源提供能量,使用还局限在一定范围,难以普及,所以对它的研究一直没有明显进展。

相关文档
最新文档