程控交换铃流信号课程设计
程控交换机课程设计
电子工程系课程设计报告题目__________ 程控交换机_______________ 年级:______________________________专业:________ 电子信息工程技术__________ 学号:__________________________学生姓名:__________________指导教师:____________日期:______________目录摘要 (II)1设计目的 (1)2 设计要求I13 设计内容I2 3.1 In terne语音通信系统概述 (2)3.2分组交换的特点I23.3 IP电话基本结构 (3)3.4 IP电话网络组件 (5)3.5 IP电话的基本通信过程 (7)3.6 IP电话的业务流程 (8)3.7基于局域网的PC TO PC的可视IP电话的开发 (11)摘要网络技术与多媒体技术的发展,促进了通信技术综合化、数字化、智能化、个人化的发展,使得在单一网络平台上实现语音、数据、图像等多种业务成为可能。
综合网络技术与多媒体技术的IP 电话技术正是在这一背景下产生的,并得到了迅速的发展,成为语音通信领域中的一个强有力的竞争者。
IP 电话除了具有采用分组交换技术,提高线路利用率,降低通信费用等优点外,可以实现多种业务的增值,并与多媒体技术相融合,使其在通信领域中处于有利的地位,具有广阔发展前景的根本原因。
虽然IP 电话的语音质量还不是很完善,但是IP 电话技术的发展方向却受到了通信业界的高度重视,许多国际标准化组织正积极制订各种相关的标准,其着眼点不仅在于IP 电话本身,更重要的是在于未来IP 多媒体多点通信和IP 电话的各种增值业务的应用。
在这样的背景之下,本课题结合了IP 电话的关键技术设计实现了一个局域网环境下的PC到PC的可视IP电话软件,并对IP电话技术进行了系统的研究与探讨。
其目的是掌握IP 网络电话的工作原理,以及IP 网络电话实现中一些关键技术的处理方法; 同时,对该软件进行扩展可以实现视频会议系统、Web电话以及语音信箱等业务。
程控交换原理实验讲义
程控交换原理实验讲义CHENG KONG JIAO HUAN YUAN LI SHI YAN JIANG YI 聊城大学物理科学与信息工程学院目录前言................................................................................................................ - 1 -实验五程控交换PCM编译码实验 ................................................................. - 7 -实验六信号音产生实验................................................................................... - 14 -实验七DTMF译码实验................................................................................... - 19 -实验十时分交换实验...................................................................................... - 23 -附录A .................................................................................. 错误!未定义书签。
前言一、适用范围程控交换实验系统是为了配合《程控数字交换与交换网》的教学而设计的实验装置,这套系统上除了完成理论验证实验外,还可以完成该课程设计、毕业设计,以及二次性开发。
本系统适合于各大学通信专业的专科生、本科生、研究生以及教师和相关的科研使用。
一、结构简介系统结构图如图一所示。
现代交换技术(程控)实验3
课程名称现代交换技术实验序号实验三实验项目多种信号音及铃流信号发生器实验实验地点实验学时实验类型指导教师实验员专业 _______ 班级学号姓名年月日1、程控交换实验箱一台2、电话单机两个3、20MHz数字示波器一台四、实验过程(实验步骤、记录、数据、分析)1.接上交流电源线。
2.打开“交流开关”,指示发光二极管亮后,再分别按下直流输出开关J1、J2,此时实验箱上的五组电源已供电,各自发光二极管亮。
3.按复位键“S1”进行一次上电复位,此时,CPU已对系统进行初始化处理,液晶显示电路显示“欢迎使用众友科技程控交换实验系统”,即可进行实验,将示波器打到直流档。
4.用示波器观察TPLL的波形5.用户1、用户3接上电话单机,用户1呼叫用户3,在呼叫过程中观察TP12的波形。
(1)用示波器观察TP12的波形,用户1摘机后听到拨号音,TP12的波形为连续的450Hz的正弦波信号。
(2)用户1拨完被叫电话号码“3456”后听到回铃音时,用双踪示波器观察TP12的波形。
可观察到TP12波形一样为1秒通,4秒断的断续信号。
(3)用户3振铃时,用双踪示波器观察TP33A的波形,即当用户3振铃时,TP33A为方波;不振铃时,TP33A无波形。
(4)用户3摘机通话后,用户3先挂机,此时用户1听到忙音,用双踪示波器观察TP12的波形,可观察到TP12的波形为0.35秒通,0.35秒断的断续信号。
实验数据记录如下测量点分析实验数据记录TP12拨号音:持续的450Hz的正弦波。
实验得出的为444.385HZ的正弦波。
TP12回铃音:450Hz 的正弦波,每导通1秒后间断4秒。
实验得出的正弦波无法判断其频率,但是可以分析得出其1秒通4秒断。
忙音:450Hz 的正弦波,每导通0.35秒后间断0.35秒。
实验得出的为444.446HZ的正弦波,波形为0.35秒通,0.35秒断的断续信号,一比一的比例。
TP33A振铃:25Hz的低频周期信号,每导通1秒后间断4秒。
程控数字交换技术第二版课程设计
程控数字交换技术第二版课程设计一、设计背景和目的程控数字交换技术是计算机通信领域中非常重要的一种技术。
为了提高学生对该技术的掌握能力,我们针对该技术进行了课程设计,旨在让学生通过实践,掌握程控数字交换技术的原理、应用和调试等方面的知识,从而达到理论与实践相结合的学习效果。
二、设计内容1. 实验设备在本课程设计中,我们将使用下列设备:•C++编程环境•Visual Studio集成开发环境•TSSNET模拟实验软件•一台支持串口通信的计算机2. 实验目的本课程设计主要目的是培养学生对程控数字交换技术的实际应用技能,包括:•掌握程控数字交换技术的原理和特点;•掌握程控数字交换系统的组成结构和工作原理;•掌握程控数字交换系统的调试方法;•能够自主完成程控数字交换系统的仿真设计。
3. 实验步骤步骤1:系统框图设计熟悉程序的设计与实现,并利用C++编程语言编写基本的通信控制程序,实现模拟数字交换机的基本接口控制程序。
步骤2:信令编码对信令进行编码,制定各类信令的编码规则,实现接口之间互联并进行双向的通信。
同时,还要实现呼叫进程和拆除进程的控制。
步骤3:通话控制在向通道发送空闲消息过程中,关键之处在于程序如何检测闲置状态,这涉及到通道状态的检测和通道状态的改变方法。
步骤4:虚拟信令编码程序还需要编写监控程序,完成对已建立的连接的监控和控制,保证通信正常进行。
4. 实验效果通过以上实验步骤的完成,我们可以得到高质量的程控数字交换机仿真系统。
学生可以通过该仿真系统进行虚拟通信实验,模拟真实情况下的呼叫和通话过程,达到真正意义上的实践效果。
通过参与该课程设计,学生可以更加深入地了解程控数字交换技术,对于提高计算机通信领域的应用能力和素质方面有着深远的影响。
三、设计总结本课程设计是针对程控数字交换技术的,通过这个实践项目,我们可以更加深入地了解程控数字交换技术的原理、应用和调试等方面的知识。
同时,该实践项目还可以锻炼学生的编程能力和实际应用能力,为学生进一步发展计算机通信领域的职业生涯奠定了坚实的基础。
程控交换课程设计分解
程控交换课程设计分解我校XX校区用户交换机配置计划----《程控数字交换与交换网》课程设计姓名XXX学号 XXXXXXXX学院XXXXX学院专业班级20XX级XX工程X班XXXXX榆中校区用户交换机配置计划专业:XXXXXX 姓名:XXX 指导老师:XXX摘要本文主要论述了我校榆中校区用户交换机配置方案的具体设计。
在明确我们需要实现目标和考虑的问题之后,通过大致估算的办法确定校区的用户数量,并对需求和现状进行分析。
文中只要介绍了对交换机的配置,交换机的系统结构、用户容量和系统容量、编号计划、中继线配置和控制部分的配置。
关键词程控交换,用户交换机,配置,榆中校区ABSTRACTThe article discusses the Yuzhong campus of my school specific design of the user switches to configure the program. Clear we need to achieve the objectives and considerations, a rough estimate approach to determine the number of users of the campus, and demand and to analyze the situation. The paper describes the configuration of the switch, switch the system architecture, user capacity and system capacity, the numbering plan, the trunk configuration and control part of the configuration.Key Words:Program controlled exchange, Switching equipment, configure, Yuzhong Campus1绪论为了实现用户间通话的接续,早前的电话交换是有专门的接线员机负责接线,工作非常繁琐、枯燥。
09级电信(本)程控交换原理实验系统指导书
实验四多种信号音及铃流信号发生器实验一.实验目的1.了解电话通信中常用的几种信号和铃流信号的电路组成与产生方法。
2.熟悉这些音信号在传送过程中的技术要求和实现方法。
二.预习要求预习有关拨号音、忙音、回铃音、铃流等有关内容。
三.实验仪器1.程控交换实验箱一台2.电话单机二台3.20MHz示波器一台四.实验原理1.用户信号系统我们知道,在用户话机与电信局的交换机之间的线路上,要沿两个方向传递语言信息。
但是,为了接通一个电话,除了上述情况外,还必须沿两个方向传送所需的控制信号。
比如,当用户想要通话时,必须首先向程控机提供一个信号,能让交换机识别并使之准备好有关设备,此外,还要把指明呼叫的目的地的信号(被叫)发往交换机。
当用户想要结束通话时,也必须向电信局交换机提供一个信号,以释放通话期间所使用的设备。
除了用户要向交换机传送信号之外,还需要传送相反方向的信号,如交换机要向用户传送关于交换机设备状况,以及被叫用户状态的信号。
由此可见,一个完整的电话通信系统,除了交换系统和传输系统外,还应有信号系统。
普通电话信号是目前各种终端信令中最为简单的一种,话机发出的信令以直流电流的通断表示,交换机产生的则主要是各种音频频率的正弦波。
2.信令定义摘机:话机发出的请求通信的命令。
挂机:由话机发出,表示话机已结束或放弃通信。
拨号音:由交换机发出,促请话机用户输入被叫话机的号码。
忙音:由交换机发出,通知主叫用户通信网络或被叫话机目前正忙。
拨号:话机发出的被叫话机的号码,供通信网接续话路时使用。
回铃音:由交换机发出,提示主叫用户被叫话机正处于振铃状态。
振铃:由交换机发出,供被叫话机发出铃声,促请用户应答。
3.信令编码摘机:环线直流电流由开路变为导通。
挂机:环线直流电流由导通变为开路。
拨号音:持续的450Hz的正弦波。
忙音:450Hz的正弦波,每导通0.35秒后间断0.35秒。
拨号:采用双音多频拨号方式,即DTMF=(Dual Tone Multifrequency)。
程控数字交换技术原理教学设计
程控数字交换技术原理教学设计一、前言程控数字交换技术是现代通信领域最为重要的技术之一,更是计算机科学与通信科学的结合体现。
这种交换技术通过数字信号的传输、呼叫处理和路由等方面,将传统的电路交换逐渐淘汰。
本教学设计将介绍程控数字交换技术的原理,以及如何设计一门贯穿理论与实践的课程。
本教学设计适用于大学通信类的本科生,为其提供了系统的掌握程控数字交换技术的机会。
二、教学目标1.掌握程控数字交换技术的原理与基本概念。
2.理解话务量理论模型,掌握基本的呼叫路由策略。
3.掌握交换机的工作原理,能够分析交换机的性能与利用率。
4.了解数字局对数字交换机的要求。
5.能够进行实际的数字交换机安装与调试。
6.能够通过实验演示实现呼叫的建立。
三、教学内容1.程控数字交换技术的基础概念介绍。
2.话务量理论模型,基本的呼叫路由策略。
3.交换机的功能模块与工作原理,交换性能分析与利用率计算。
4.数字局对数字交换机的要求。
5.数字交换机的实际安装与调试。
6.数字交换机结构与实现原理的实验演示。
四、教学方法1. 理论教学理论教学主要通过课堂讲解和PPT演示进行。
由于程控数字交换技术具有一定的抽象性,因此教师需要采用生动易懂的语言和形象直观的图表来解释和演示,帮助学生理解和掌握知识点。
2. 实验教学实验教学是计算机科学和通信科学的重要教学方法。
在本课程中,实验教学将重点涉及到数字交换机的结构与实现原理的实验演示。
教师应该根据学生的实验资历设计一系列具有挑战性的实验,并且注重培养学生的解决实际问题的能力。
五、教材选择本教学设计建议使用以下教材:1.《通信原理》(第3版)/ 刘宋,人民邮电出版社 / 2014年2月2.《数字交换技术基础》(第2版)/ 徐江祥,吴长青 / 电子工业出版社 / 2014年3月此外,教师可以根据学生的具体情况,自由选择其他具有先进性、系统性、实用性的教材。
六、考核方式考核方式主要采用闭卷笔试和实验操作两种方式。
用户电路设计程控互换课程设计说明书
程控互换原理课程设计说明书程控交换机的用户电路的设计起止日期:2021年12月09日至2021年12月15日学生姓名朱榛塬班级通信093班学号025成绩指导教师(签字)运算机与通信学院2021年12 月15 日图一 用户线接口功能框图模拟用户线接口电路的功能可以归纳为BORSCHT 七种功能,具体含义是: (1)馈电(B-Battery feeling )向用户话机送直流电流。
通常要求馈电电压为—48伏或—24伏,环路电流不小于18m A.(2)过压保护(O —Overvoltage protection )防止过压过流冲击和损坏电路、设备。
(3)振铃控制(R —Ringing Control )向用户话机馈送铃流,通常为25Hz/90Vrms 正弦波。
(4)监视(S-Supervision )监视用户线的状态,检测话机摘机、挂机与拨号脉冲等信号以送往控制网络和交换网络。
(5)编解码与滤波(C-CODEC/Filter )在数字交换中,它完成模拟话音与数字码间的转换。
通常采用PCM 编码器(Coder )与解码器(Decoder)来完成,,统称为CODEC 。
相应的防混叠与平滑低通滤波器占有话路(300Hz-3400Hz )带宽,编码速率为64kb/s 。
(6)混合(H —Hyhird )完成二线与四线的转换功能,即实现模拟二线双向信振铃继电器铃流发生器馈电电源模拟 用户线过压保护电路测试开关馈电电路混合电路编码器解码器低通平衡网络 低通发送码流接收码流(编码信号)b测试总线振铃控制信号用户线状态信号·馈电功耗在正常工作时仅为1W,静态时约为50mW·正常工作环阻值~5KΩ(3)MY88622 外形尺寸图(4)引脚说明:引脚号名称说明1 TF 内部连接,外部不用2 LPGND 环路地及系统相对于Vbat 的地,通常与GNDA 连接3 VR 话音接受端(输入),使4 线模拟信号传入SLIC4 LED 环路状态LED 显示,低电平有效5 VEE 负电源端(-5V)6 GNDA 模拟地(0V)7 NC 外部悬空8 Vx 话音发送端(输出),使4 线模拟信号传出SLIC9 TIP 连接电话线T 端10 RING 连接电话线R 端11 RF 铃流回路端,与铃流继电器连接12 VCC 正电源端(+5V)现在采用u=255。
程控交换机课程设计(DOC)
电子工程系课程设计报告题目:程控交换机年级:专业:电子信息工程技术学号:学生姓名:指导教师:日期:目录摘要 (II)1 设计目的 (1)2 设计要求 (1)3 设计内容 (2)3.1 Interne语音通信系统概述 (2)3.2分组交换的特点 (2)3.3 IP电话基本结构 (3)3.4 IP电话网络组件 (5)3.5 IP电话的基本通信过程 (7)3.6 IP电话的业务流程 (8)3.7 基于局域网的PC TO PC的可视IP电话的开发 (11)摘要网络技术与多媒体技术的发展,促进了通信技术综合化、数字化、智能化、个人化的发展,使得在单一网络平台上实现语音、数据、图像等多种业务成为可能。
综合网络技术与多媒体技术的IP电话技术正是在这一背景下产生的,并得到了迅速的发展,成为语音通信领域中的一个强有力的竞争者。
IP电话除了具有采用分组交换技术,提高线路利用率,降低通信费用等优点外,可以实现多种业务的增值,并与多媒体技术相融合,使其在通信领域中处于有利的地位,具有广阔发展前景的根本原因。
虽然IP电话的语音质量还不是很完善,但是IP电话技术的发展方向却受到了通信业界的高度重视,许多国际标准化组织正积极制订各种相关的标准,其着眼点不仅在于IP电话本身,更重要的是在于未来IP多媒体多点通信和IP电话的各种增值业务的应用。
在这样的背景之下,本课题结合了IP电话的关键技术设计实现了一个局域网环境下的PC到PC的可视IP电话软件,并对IP电话技术进行了系统的研究与探讨。
其目的是掌握IP网络电话的工作原理,以及IP网络电话实现中一些关键技术的处理方法;同时,对该软件进行扩展可以实现视频会议系统、Web电话以及语音信箱等业务。
本文首先探讨了IP电话的相关协议、标准、关键技术及其工作原理,然后对本文所设计实现的可视IP电话软件进行了系统分析、系统设计、系统实现。
其中系统实现主要包括语音的录制、播放、编解码、压缩、实时传输协议等的实现。
程控交换技术实验指导书05
程控交换技术实验指导书李婷李春杰大连民族学院机电信息工程学院2009年7月10日实验要求1. 每位学生必须按规定完成实验课,因故不能参加实验者,应课前向指导教师请假(必须经有关领导批准)。
对所缺实验要在期末考试规定时间内补齐,缺实验者不得参加期末考试。
2. 每次实验课前,必须作到预习,弄清实验题目、目的、内容、步骤和操作过程,及需记录的参数等,认真做好预习报告。
在实验前,指导教师要检查预习结果并接受进行提问。
对不写预习报告,又回答不出问题者,不准做实验。
3. 每次实验课前,学生须提前5分钟进入实验室,找好坐位,检查所需实验设备,做好实验前的准备工作。
4. 做实验前,了解设备的原理和正确使用方法。
在没有弄懂仪器设备的使用方法前,不得贸然使用,否则因使用不当造成仪器设备损坏的,根据大连民族学院《仪器设备损坏丢失处理暂行办法》规定进行处理。
5. 实验室内设备在实验过程中不准任意搬动和调换,非本次实验所用仪器设备,未经指导教师允许不得动用。
6. 要求每位学生在实验过程中,要具有严谨的学习态度、认真、踏实、一丝不苟的科学作风。
坚持每次实验都要亲自动手,不可“坐车”,实验小组内要轮流接线、操作和记录等工作,无特殊原因,中途不得退出实验,否则本次实验无效。
7. 实验中若接线、改接、拆线都必须在切断电源的情况下进行(包括安全电压),线路连接完毕再送电。
实验中,特别是设备刚投入运行时,要随时注意仪器设备的运行情况,如发现有超量程、过热、异味、冒烟、火花等,应立即断电,并请指导老师检查、处理。
8. 实验过程中,如出现事故,就马上拉开电源开关,然后找指导教师和实验技术人员,如实反映事故情况,并分析原因和处理事故。
如有损坏仪表和设备时,应马上提出,按有关规定处理。
9. 每次实验结束,指导教师要对实验数据和结果进行,要经检查并签字,在教师确认正确无误后,学生方可拆线。
整理好实验台和周围卫生,填写实验登记簿后方可离开。
10. 实验课后,每位学生必须按实验指导书的要求,独立完成实验报告,不得抄袭。
程控交换技术实验
实验四音信号及铃流信号观测实验实验内容1、音信号及铃流发生器的实用电路介绍2、拨号音、忙音、回铃音的形成及波形观测3、铃流信号的产生及波形观测一、实验目的1、了解电话通信中常用的几种信号音和铃流发生器的电路组成和工作过程。
2、熟悉这些音信号和铃流信号的技术要求。
二、电路工作过程我们知道,在用户话机与电信局的交换机之间的用户线上,要沿两个方向传递语言信息。
但是,为了实现一次通话,还必须沿两个方向传送所需的控制信号。
比如,当用户想要通话时,必须首先向程控机提供一个信号,能让交换机识别并使之准备好有关设备,此外,还要把指明呼叫的目的地的信号发往交换机。
当用户想要结束通话时,也必须向电信局交换机提供一个信号,以释放通话期间所使用的设备。
除了用户要向交换机传送信号之外,还需要传送相反方向的信号,如交换机要向用户传送关于交换机设备状况,以及被叫用户状态的信号。
由此可见,一个完整电话通信系统,除了交换系统和传输系统外,还应有信号系统。
下面是本实验系统在一次正常通话全过程中传送信号的工作流程,见图4—1所示。
用户向电信局交换机发送的信号有用户状态信号(一般为直流信号)和号码信号(地址信号),它们的详细说明分别见实验二和实验四。
交换机向用户发送的信号有各种可闻信号与振铃信号(铃流)两种。
A、各种可闻信号:一般采用频率为450Hz的交流信号,例如:拨号音:(Dial tone)连续发送的450Hz信号。
回铃音:(Ringing tone)1秒送,4秒断的5秒断续的450Hz信号。
忙音:(busy tone)0、35秒送,0、35秒断的0、7秒断续的450Hz信号。
通知音:0、2秒送,0、2秒断,0、2秒送,0、6秒断的1、2秒不等间隔断续的450Hz 信号。
催挂音:连续发送响度较大的信号与拨号音有明显区别。
B、振铃信号(铃流):一般采用频率为25Hz,幅度为75V±15V的交流电压,以1秒送,4秒断的5秒断续方式发送。
程控交换课程设计
第一章交换原理1.1交换机构成程控交换机的主要任务是实现用户间通话的接续,由两大部分组成:话路设备和控制设备。
话路设备主要包括各种接口电路(如用户线接口和中继线接口电路等)和交换 (或接续)网络;控制设备在纵横制交换机中主要包括标志器与记发器,而在程控交换机中,控制设备则为电子计算机,包括中央处理器(CPU),存储器和输入 /输出设备。
程控交换机实质上是采用计算机进行存储程序控制的交换机。
它将各种控制功能,方法编成程序,存入存储器,利用对外部状态的扫描数据和存储程序来控制,管理整个交换系统的工作。
1.1.1 交换网络交换网络的基本功能是根据用户的呼叫要求,通过控制部分的接续命令,建立主叫与被叫用户间的连接通路。
在纵横制交换机中它采用各种机电式接线器 (如纵横接线器,编码接线器,笛簧接线器等),在程控交换机中目前主要采用由电子开关阵列构成的空分交换网络,和由存储器等电路构成的时分接续网络。
1.1.2 用户电路用户电路的作用是实现各种用户线与交换之间的连接,通常又称为用户线接口电路(SLIC,Subscriber Line Interface Circuit)。
根据交换机制式和应用环境的不同,用户电路也有多种类型,对于程控数字交换机来说,目前主要有与模拟话机连接的模拟用户线电路 (ALC)及与数字话机,数据终端(或终端适配器)连接的数字用户线电路(DLC)。
模拟用户线电路是适应模拟用户环境而配置的接口,其基本功能有:1.馈电(Battery feed): 交换机通过用户线向共电式话机直流馈电;2.过压保护(Overvoltage Protection): 防止用户线上的电压冲击或过压而损坏交换机;3.振铃(Ringing):向被叫用户话机馈送铃流;4.监视(Supervision):借助扫描点监视用户线通断状态,以检测话机的摘机,挂机,拨号脉冲等用户线信号,转送给控制设备,以表示用户的忙闲状态和接续要求;5.编解码(CODEC):利用编码器和解码器(CODEC),滤波器,完成话音信号的模数与数模交换,以与数字交换机的数字交换网络接口;6.混合(Hybrid):进行用户线的2/4线转换,以满足编解码与数字交换对四线传输的要求;7.测试(Test):提供测试端口,进行用户电路的测试。
交换课设
3.1呼叫处理的原理
呼叫处理程序负责呼叫的建立、监督、撤销及呼叫处理过程中的一些其他处理。它在整个交换机运行软件中所占的比例并不多,但其运行十分频繁,占用处理机的时间最多。
一次普通电话呼叫的处理过程并不复杂,它包括摘机检测、收号、接续并启动计费、挂机监测、拆除接续链路和输出计费数据等操作,即使考虑呼叫过程中的各种异常情况,呼叫处理过程也不十分复杂。
②向主叫用户送拨号音,监视收号器的输入信号,准备收号。
(3)收号
①主叫用户拨第一位号码,收号器收到第一位号后,停拨号音。
②主叫用户继续拨号,收号器将收到号码按位储存。
③呼叫处理程序对“已收位”进行计数。
④将号首送到分析程序进行预译处理。
(4)号码分析
①号码分析对号首进行预译处理,确定呼叫类别,并根据分析结果是本局、出局、长途或特服等来决定还要接收几位号码。
分析处理--------------------------------内部处理
任务执行和输出处理----------------------输出处理
输入处理:数据采集,识别接收外部输入的处理请求和其他相关信号。
分析处理:内部数据处理部分数据输入信号和现状态,进行分析、判别,给出分析结果,任务执行和输出处理输出命令部分。
①向被叫用户B振铃;
②向主叫用户A送回铃音;
③监视主、被叫用户状态。
(7)被叫应答通话
①被叫摘机应答,电路交换系统检测到后,停振铃和停回铃音;
②建立A、B用户间通话路由,开始通话;
③启动计费设备,开始计费;
④监视主、被叫用户状态。
(8)话终、主叫先挂机
①假设主叫用户先挂机,电路交换系统检测到以后,进行通话路由复原;
《程控交换技术》课程设计报告规范
附件 1:《程控交换技术》课程设计报告规范
《程控交换技术》
课程设计报告
班 级: 姓 名: 学 号: 指导教师: 日 期:
目录
1. 前言....................................................................................................... 页码 1.1 二级标题 1...................................................................................... 页码 1.2 二级标题 2...................................................................................... 页码 ........
5. 设计结果............................................................................................... 页码 6. 结语....................................................................................................... 页码 参考文献.................................................................................................... 页码
程控交换系统课程设计
程控交换系统课程设计一、课程目标知识目标:1. 理解程控交换系统的基本概念、工作原理及系统架构;2. 掌握程控交换系统中常用的交换技术、信令系统和故障处理方法;3. 了解我国通信网络的发展历程,认识程控交换系统在现代通信网络中的地位和作用。
技能目标:1. 能够运用所学知识分析程控交换系统的运行过程,并进行简单的系统设计和故障排查;2. 培养学生运用技术文献、手册等进行资料查询和问题解决的能力;3. 提高学生的团队协作能力和实践操作技能,能够完成程控交换系统的搭建和调试。
情感态度价值观目标:1. 培养学生对通信工程领域的兴趣和热情,激发学生探索新技术的欲望;2. 培养学生严谨、务实的科学态度,提高学生对工程实践的责任心和使命感;3. 引导学生关注我国通信事业的发展,增强学生的民族自豪感和使命感。
课程性质:本课程为通信工程专业高年级的专业课程,具有较强的理论性和实践性,旨在帮助学生掌握程控交换系统的基本知识、技能和实际应用。
学生特点:学生已具备一定的通信基础知识和实践能力,具有较强的求知欲和自主学习能力。
教学要求:结合课程性质和学生特点,注重理论与实践相结合,强调知识的应用和能力的培养。
通过课程学习,使学生能够达到上述课程目标,为将来的工作和发展奠定坚实基础。
二、教学内容1. 程控交换系统概述- 了解程控交换系统的起源、发展历程及未来趋势;- 掌握程控交换系统的基本概念、功能及分类。
2. 程控交换系统的基本原理与架构- 学习程控交换系统的工作原理、系统架构及关键技术;- 分析交换网络、控制设备、话路设备等组成部分的作用和相互关系。
3. 常用交换技术- 研究空分交换、时分交换、分组交换等常用交换技术;- 掌握各种交换技术的优缺点及适用场景。
4. 信令系统- 介绍信令系统的概念、功能、分类及工作原理;- 掌握我国常用的信令系统及标准。
5. 程控交换系统的故障处理与维护- 学习程控交换系统常见故障的分析与处理方法;- 了解系统维护的基本知识,提高系统运行稳定性。
程控交换课程设计
程控交换课程设计一、教学目标本节课的教学目标是让学生掌握程控交换的基本原理和应用,了解程控交换系统的工作流程和功能,培养学生对通信技术的兴趣和好奇心。
具体来说,知识目标包括:1.了解程控交换的定义和发展历程。
2.掌握程控交换系统的基本组成和工作原理。
3.了解程控交换在现代通信中的作用和应用。
技能目标包括:1.能够分析并解释程控交换系统的工作流程。
2.能够运用所学知识,对简单的程控交换系统进行设计和调试。
情感态度价值观目标包括:1.培养学生对通信技术的兴趣和好奇心,激发学生学习通信技术的热情。
2.培养学生团队合作意识和动手实践能力,提高学生解决实际问题的能力。
二、教学内容本节课的教学内容主要包括程控交换的定义和发展历程、程控交换系统的基本组成和工作原理、程控交换在现代通信中的应用。
具体来说,教学大纲如下:1.程控交换的定义和发展历程:介绍程控交换的概念,讲解程控交换的发展历程,让学生了解程控交换在通信技术中的重要地位。
2.程控交换系统的基本组成和工作原理:讲解程控交换系统的主要组成部分,如交换机、传输线路、信令系统等,并阐述各部分之间的关系和作用。
3.程控交换在现代通信中的应用:介绍程控交换在现代通信中的应用场景,如电话通信、数据通信等,并分析其优势和不足。
三、教学方法为了达到本节课的教学目标,将采用以下教学方法:1.讲授法:通过讲解程控交换的基本原理和应用,使学生掌握相关知识。
2.案例分析法:通过分析具体的程控交换应用案例,让学生更好地理解程控交换在实际通信中的应用。
3.实验法:学生进行程控交换系统实验,让学生动手实践,提高学生的实际操作能力。
四、教学资源为了支持本节课的教学内容和教学方法的实施,将准备以下教学资源:1.教材:选用《通信原理》等相关教材,为学生提供理论知识的学习。
2.参考书:提供《程控交换技术》等参考书籍,丰富学生的知识储备。
3.多媒体资料:制作PPT等多媒体教学资料,生动形象地展示程控交换的相关内容。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
萍乡高等专科学校程控交换技术课程设计题目:各种信号音及铃流发生器设计班级:09 通信班设计人:邓超09354014设计人:刘涛09354011设计人:兰俊09354015指导老师:张兴娇设计时间:2011. 12. 07目录摘要 (3)一、铃流信号和QuartusII软件介绍 (3)1.1铃流信号介绍 (3)1.2 QuartusII软件的介绍 (3)二、各种信号音及铃流的电路工作过程 (4)(a) 拨号音及产生电路 (5)(b) 回铃音及控制电路 (5)(c) 忙音及控制电路 (6)(d) 铃流信号发生器电路 (7)三、QuartusII软件运用 (8)3.1 QuartusII软件编程 (8)3.2 QuartusII软件仿真运行结果 (11)总结 (14)参考文献 (14)摘要铃流信号发生器也称铃流源,在电话交换设备中,它的作用是为用户话机提供振铃信号和工作电源。
本次设计使用的是QuartusII软件,此软件用于CPLD 实验居多,在此软件上使用VHDL语言编写程序,使产生拨号音、回铃音、忙音以及振铃信号(铃流)。
一、铃流信号和QuartusII软件介绍1.1铃流信号介绍铃流源又称铃流信号发生器,它是一种特殊形式的电源。
在通信交换设备中,铃流源为用户话机提供振铃信号和工作电源。
原邮电部标准化所制定的铃流源技术标准为:波形为正弦波,频率为25H z±3Hz,输出电压为75V±15V,失真度<1%。
在早期的通信设备中,采用的是集中式铃流源,由单独的铃流源机架提供整个系统的铃流信号,其输出功率是很大的。
而近期的通信设备多采用分布式铃流源,将它与程控交换机的二次电源组合在一起,采用模块化结构,输出功率从数瓦到数十瓦不等。
由于铃流源的电路型式为DC/AC变换器,除输出的电压幅度与频率有所不同外,铃流源电路与常用的逆变器电路在原理与制作上并无多大差别。
铃流源的实际电路多种多样。
80年代,当铃流源由方波改为正弦波时,人们曾采用滤波法,将罗耶振荡器产生的方波信号,经LC无源滤波器滤去高次谐波,从而得到25Hz的正弦基波。
也有的铃流源采用裂相法,通过裂相电容器和变压器的作用,将频率为50Hz的交流市电变为25Hz的铃流电压。
上述两种方法都离不开低频变压器和滤波器,其缺点是效率低,体大笨重,噪声也大,不符合现代通信设备的要求。
1.2 QuartusII软件的介绍本次设计软件方面以QuartusII为主Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。
具有运行速度快,界面统一,功能集中,易学易用等特点。
二、各种信号音及铃流的电路工作过程我们知道,在用户话机与电信局的交换机之间的线路上,要沿两个方向传递语言信息。
但是,为了接通一个电话,除了上述情况外,还必须沿两个方向传送所需的控制信号。
比如,当用户想要通话时,必须首先向程控机提供一个信号,能让交换机识别并使之准备好有关设备,此外,还要把指明呼叫的目的地的信号(被叫)发往交换机。
当用户想要结束通话时,也必须向电信局交换机提供一个信号,以释放通话期间所使用的设备。
除了用户要向交换机传送信号之外,还需要传送相反方向的信号,如交换机要向用户传送关于交换机设备状况,以及被叫用户状态的信号。
由此可见,一个完整的电话通信系统,除了交换系统和传输系统外,还应有信号系统。
下面是本设计的传送信号流程,如图1所示:图1.传送信号流程图用户向电信局交换机发送的信号有用户状态信号和号码信号。
交换机向用户发送的信号有各种可闻信号与振铃信号(铃流)两种方式。
1、各种可闻信号:一般采用频率为450Hz的交流信号,例如:拨号音:(Dial tone)连续发送的信号。
回铃音:(Ringing tone)1秒送,4秒断的5秒断续信号,与振铃一致。
忙音:(busy tone)0.35秒送,0.35秒断的0.7秒断续信号。
2、振铃信号(铃流):一般采用频率为25Hz,幅度为75V±15V的交流电压,以1秒送,4秒断的5秒断续方式发送。
在呼叫建立过程中,交换机应向主叫用户发送各种信号音,以使用户能了解连续进展情况和下一步应采取的操作。
(a)拨号音及产生电路主叫用户摘机,CPU检测到该用户有摘机状态后,立即送出的音信号,表示可以拨号,当CPU中央处理单元收到第一个拨号脉冲后,应立即给予切断该信号,拨号音用连续的信号音。
在本设计中,频率为400Hz~450Hz之间,幅度在1.5V~3.5 V之间,图2为该电路框图,图3为拨号音电路图。
图2、450Hz拨号音的电路框图图3、450Hz拨号音的电路图(b)回铃音及控制电路音信号由CPU中央处理单元控制送出,通知主叫用户正在对被叫用户振铃,回铃音信号所用频率也同拨号音频率,继续周期为1秒通,4秒断,与振铃一致。
各国所用的断续周期不同,如日本为1秒断2秒续,重复周期为3秒。
美国和加拿大为2秒续,4秒断,重复周期为6秒。
我国采用4秒断,1秒续的5秒周期信号。
因此在本设计中采用大约4秒断,1秒续的重复周期为5秒信号,如图所示,图4为回铃音电路框图,图5为回铃音电路原理图。
图4、回铃音控制电路框图图5、回铃音控制电路原理图(c)忙音及控制电路忙音表示用户处于忙状态,此时用户应挂机等一会再重新呼叫。
在本设计中采用大约0.35秒断,0.35秒续的400Hz~450Hz的信号,如图所示,图6为忙音控制电路框图,图7为忙音控制电路原理图。
图6、忙音控制电路框图图7、忙音控制电路原理图(d)铃流信号发生器电路铃流信号的作用是交换机向被叫用户发出,作为呼入信号,一般采用低频电流,如频率有16.6Hz、25Hz、33.3Hz等几种。
它的断续周期同回铃音信号相同,因此,在本设计中采用大约4秒断、1秒通的断续信号,如图所示,图8为铃流信号发生器电路框图,图9为铃流信号发生器电路原理图。
图8、铃流信号发生器电路框图图9、铃流信号发生器电路原理图由于我们并没有本次设计所需要的程控交换系统,无法实现硬件电路的仿真,只能使用软件制作仿真。
三、Q uartusII软件运用3.1 QuartusII软件编程library ieee; --1Hzuse ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity entity450 isport(clk:in std_logic;clk_div450:out std_logic);end entity450;architecture rtl256 of entity450 issignal clk_temp:std_logic:='0';signal count:std_logic_vector(8 downto 0):="000000000";beginprocess(clk)beginif(clk'event and clk='1') thenif(count="111111111")thenclk_temp<=not clk_temp;count<="000000000";else count<=count+1;end if;end if;end process;clk_div450<=clk_temp;end rtl256;library ieee; ——1秒通4秒断的脉冲信号use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity entity5 isport(clk:in std_logic;clk_div5:out std_logic);end entity5;architecture rtl5 of entity5 issignal count:std_logic_vector(2 downto 0):="000"; beginprocess(clk)beginif(clk'event and clk='1') thenif(count="100") thencount<="000";elsecount<=count+1;end if;end if;end process;process(clk)beginif(clk'event and clk='1') thenif(count="100") thenclk_div5<='1';elseclk_div5<='0';end if;end if;end process;end rtl5;library ieee; --20分频得到25Hz的方波use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity entity20 isport(clk:in std_logic;clk_div20:out std_logic);end entity20;architecture rtl20 of entity20 issignal clk_temp:std_logic:='0';signal count:std_logic_vector(3 downto 0):="0000"; beginprocess(clk)beginif(clk'event and clk='1') thenif(count="1001")thenclk_temp<=not clk_temp;count<="0000";else count<=count+1;end if;end if;end process;clk_div20<=clk_temp;end rtl20;library ieee; ——最后的链接文件use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jh isport(clk:in std_logic;clk1:out std_logic;--拨号音clk2:out std_logic;--回铃音clk3:out std_logic;--忙音clk4:out std_logic);--振铃音end entity;architecture art of jh issignal clk1hz,clk02hz,clk25:std_logic:='0'; component entity450 isport(clk:in std_logic;clk_div450:out std_logic);end component;component entity5 isport(clk:in std_logic;clk_div5:out std_logic);end component;component entity20 isport(clk:in std_logic;clk_div20:out std_logic);end component;beginu0:entity450 port map(clk,clk1hz);u1:entity5 port map(clk1hz,clk02hz);u2:entity20 port map(clk,clk25);clk1<=clk;clk2<=clk and clk02hz;clk3<=clk and clk1hz;clk4<=clk25 and clk02hz;end;3.2 QuartusII软件仿真运行结果(1)、拨号音:连续发送的450Hz信号(2)、回铃音:1秒通,4秒断的5秒断续的450Hz信号。