实验一 半加器和全加器的设计
加法器电路设计实验报告
加法器电路设计实验报告【加法器电路设计实验报告】一、实验目的本实验的主要目标是通过实际操作,设计并实现一个基础的加法器电路,以深入理解数字逻辑电路的设计原理和工作方式。
通过对半加器、全加器以及多位加法器的设计与搭建,进一步熟悉集成门电路的应用,掌握组合逻辑电路的设计方法,并能对电路的逻辑功能进行有效的验证与分析。
二、实验原理加法器是数字系统中的基本运算单元,其核心工作原理基于二进制数的加法规则。
在最基础的层面上,一个半加器(Half Adder)用于计算两个一位二进制数的和,同时产生一个进位输出;而全加器(Full Adder)在此基础上增加了处理来自低位的进位输入,可以完成三位二进制数的相加。
对于多位二进制数的加法,可以通过级联多个全加器来实现。
1. 半加器:由两个异或门(XOR)实现“和”输出,一个与门(AND)实现“进位”输出,即S=A XOR B,Cout=A AND B。
2. 全加器:除了接收两个数据输入A和B外,还接收一个进位输入Cin,同样由异或门计算“和”,但“进位”输出需要考虑三个输入的与或逻辑关系,即S=A XOR B XOR Cin,Cout=(A AND B) OR (B AND Cin) OR (A AND Cin)。
三、实验步骤1. 半加器设计:首先,利用集成电路库中的逻辑门元件构建半加器,将A 和B作为异或门的输入得到和信号S,将A和B分别连接到与门的两个输入端得到进位信号Cout。
2. 全加器设计:在半加器的基础上,增加一个输入端Cin代表低位的进位,同样运用异或门和与门组合形成全加器的逻辑结构,根据全加器的逻辑表达式连接各门电路。
3. 多位加法器设计:为了实现多位二进制数的加法,将若干个全加器按照从低位到高位的顺序级联起来,每级全加器的进位输出连接到下一级的进位输入。
四、实验结果及分析经过电路设计与仿真测试,成功实现了从半加器到多位加法器的功能转化。
当给定两组多位二进制数后,所设计的加法器电路能够准确无误地计算出它们的和,并正确显示进位信息。
全加器实验报告
一、实验目的
1、掌握组合逻辑电路的功能测试。
2、验证半加器和全加器的逻辑功能。
3、学会二进制数的运算规律。
二、实验元器件
数电实验箱、集成芯片(74LS00、74LS10、74LS54、74LS86)、导线。
三、实验内容
1、组合逻辑功能路功能测试。
用两片74LS00组成图2-3
A
A
B
A
A
B
A
Y+
=
⋅
=
1C
B
B
A
C
B
B
A
Y+
=
⋅
=
2
2、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。
用一片(74LS86)和(74LS00)组成半加器。
数电实验报告二
组合逻辑电路(半加器、全加器及逻辑运算)
Vcc
A B
3、
S
CO
CO
A B
C
4、设计性实验
设计一个“一致电路”。
电路有三个输入端,一个输出端。
当三个输入端变量A、B、C状态一致时,输出F为“1”;当三个变量状态不一致时,输出F为“0”。
(要求:用与非门组成电路。
)
步骤:
i.列真值表:(右图)
ii.写出逻辑表达式:
()()
ABC
C
B
A
F⋅
=
iii.画逻辑电路图:(下图)
A
B
C
F
iv.按下图连接实验电路。
(下图)
A B。
半加器和全加器的设计
port (a,b :in std_logic;
c: out std_logic);
end component;
signal x:std_logic_vector(0 to 2);
begin
u1: h_adder1 port map(a,b,x(1),x(0));
u2: h_adder1 port map(x(1),cin,sum,x(2));
entity count10 is
port(clk,r,s :in std_logic;
data:in std_logic_vector(3 downto 0);
co :out std_logic;
q:buffer std_logic_vector(3 downto 0));
end count10;
u3: or23 port map(a=>x(0),b=>x(2),c=>cout);
end arch;
运行结果:
方法二:
运行结果:
实验二.四选一数据选择器的设计
1用case语句:
library ieee;
use ieee.std_logic_1164.all;
entity mux4_1ais
port(A,B,C,D :in std_logic_vector(3 downto 0);
entity dswq is
port(clk,dir : in std_logic;
q : buffer std_logic_vector(3 downto 0));
end;
architecture arch of dswq is
begin
process(clk,q,dir)
实验一:半加器与全加器实验
实验一:半加器与全加器实验(1)实验目的与任务目的:理解半加器、全加器原理;掌握加法器Verilog编写方法;熟悉基于Quartus II软件的Verilog代码文本输入设计流程。
任务:基于Quartus II软件和EDA实验箱完成全加器的设计、仿真与硬件测试。
(2)实验设备带有windows操作系统和Quartus II软件的PC机一台;EDA实验箱一台(包含电源线和下载线)。
(3)实验内容基于Quartus II软件使用Verilog HDL设计半加器与全加器,并进行仿真和硬件测试。
硬件测试方案:使用拨码开关SW1(被加数)、SW2(加数)和SW3(进位输入)作为输入,以发光二极管LED0(和)和LED1(进位输出)作为输出。
拨动SW1、SW2和SW3,LED0和LED1显示正确。
原理图:注意:原理图中的SW0、SW1、SW2应该为实验箱上的SW1、SW2、SW3。
(4)实验步骤①运行Quartus II软件,编写半加器和全加器的Verilog代码,并保存(半加器保存为h_adder.v,全加器保存为f_adder.v)。
②创建工程,工程名为f_adder,把h_adder.v和f_adder.v添加到工程中,选择目标芯片为Cyclone III系列的EP3C120F780C8,不使用第三方EDA工具。
③编译。
④使用Quartus II自带的仿真工具对全加器进行时序仿真(打开波形编辑器,设置仿真时间50us,波形文件存盘f_adder.vwf,将工程f_adder的端口信号节点选入波形编辑器中,总线数据格式设置和参数设置,编辑输入波形数据,启动仿真器,观察仿真结果)。
⑤引脚锁定。
⑥编译文件(产生JTAG编程文件f_adder.sof(掉电丢失);并通过转换得到JTAG间接编程文件f_adder_file.jic(掉电不丢失))下载,硬件测试,随意拨动实验箱中的SW1、SW2和SW3,观察LED0和LED1的变化。
实验三十三逻辑门电路及其组合`半加器和全加器
实验三十三逻辑门电路及其组合、半加器和全加器一、实验目的1.实际观测与门、或门、与非门、异或门的逻辑功能2.学习用与非门组成逻辑电路的方法3.通过实验了解半加器的工作原理及逻辑功能4.学习运用四位全加器进行四位二进制数的加法二、实验设备、器件及集成电路引脚图数字逻辑学习机一台四二端输入与门74LS08四二端输入或门74LS32四二端输入与非门74LS00四二端输入异或门74LS86四位二进制快速进位全加器 74LS28374LSO8 74LS3274LS00 74LS86每片芯片上各有四个门,每门有两个输入端,一个输出端,两外接电源端(V cc和GND),共14个管脚,管脚排列如图所示。
四位二进制快速全加器,是由四个全加器和快速进位组成,它有八个数据输入端A1~A4和B1~B4、一个低位进位端C0、四个全加和输出端S1~S4、一个高位进位输出端C4以及电源端V cc和GND共十六脚四位二进制快速全加器74LS283管脚排列图如下:74LS283三、实验内容和步骤1.分别测试与门、或门、与非门、异或门的逻辑功能。
每块芯片内有四个互相独立的逻辑门,每个逻辑门有两个输入端和一个输出端,两个外接电源端V CC和GND。
先把所用芯片接上电源,注意电源极性要接正确。
任取芯片上四个逻辑门中的一个,两个输入端A、B分别接电平开关插孔。
输出端接发光管电平显示插孔。
利用发光管显示输出端电平,发光管亮为“1”暗为“0”。
将电平开关按表 33-1 中输入逻辑变量置数并将结果填入表33-1中。
2.用与非门实现CF+=的逻辑关系,按预习时准备好的逻辑电路图接线。
A、B、C三个输入ABA端接电平开关插孔,输出端接发光管电平显示插孔。
改变输入端电平,观测输入与输出的逻辑关系,列出逻辑状态表。
3.用异或门和与门组成半加器,如图 33-1 。
A、B是相加的两个数。
S是半加和数,C是进位数。
输入端A、B接电平开关插孔,输出端C、S接发光管电平显示插孔。
实现全加器的实验报告
一、实验目的1. 理解全加器的原理和组成。
2. 掌握半加器、与门、或门等基本逻辑门电路的原理和特性。
3. 学习利用基本逻辑门电路构建全加器。
4. 通过实验加深对数字电路设计和实现过程的理解。
二、实验原理全加器是一种基本的数字电路,用于实现两个二进制数的加法运算。
它由两个半加器和两个与门、一个或门组成。
当两个加数位相加时,全加器可以产生一个和以及一个进位输出。
半加器(hadder)是全加器的基础单元,它由一个异或门(XOR)和一个与门(AND)组成。
异或门负责产生和输出,与门负责产生进位输出。
全加器的原理如下:- 当两个加数位相加时,若两者均为0,则输出和为0,进位为0。
- 若一个加数位为0,另一个为1,则输出和为1,进位为0。
- 若两者均为1,则输出和为0,进位为1。
三、实验设备及器材1. 数字电路实验箱2. 集成芯片(74LS00、74LS10、74LS54、74LS86)3. 导线4. 示波器5. 电源四、实验步骤1. 准备实验器材,搭建半加器电路。
(1)将74LS86(异或门)和74LS00(与门)插入实验箱。
(2)按照图1所示连接半加器电路。
(3)将A、B分别接入电平开关,Y、Z接入发光二极管显示。
(4)通电,观察Y、Z的亮灭情况,验证半加器的逻辑功能。
2. 构建全加器电路。
(1)按照图2所示连接全加器电路。
(2)将A、B、C分别接入电平开关,Y、Z接入发光二极管显示。
(3)通电,观察Y、Z的亮灭情况,验证全加器的逻辑功能。
3. 使用示波器观察全加器的输出波形。
(1)将示波器的探头分别连接到全加器的和输出端和进位输出端。
(2)改变A、B、C的输入值,观察示波器上的波形,分析全加器的逻辑功能。
五、实验结果与分析1. 半加器实验结果:当A、B的输入分别为0、1或1、0时,Y为1,Z为0;当A、B的输入均为0或均为1时,Y为0,Z为0。
验证了半加器的逻辑功能。
2. 全加器实验结果:当A、B、C的输入分别为0、0、0时,Y为0,Z为0;当A、B、C的输入分别为0、0、1时,Y为1,Z为0;当A、B、C的输入分别为0、1、0时,Y为1,Z为0;当A、B、C的输入分别为0、1、1时,Y为0,Z为1;当A、B、C的输入分别为1、0、0时,Y为1,Z为0;当A、B、C的输入分别为1、0、1时,Y为0,Z为1;当A、B、C的输入分别为1、1、0时,Y为0,Z为1;当A、B、C的输入分别为1、1、1时,Y为1,Z为1。
组合逻辑电路设计之全加器、半加器
班级姓名学号实验二组合电路设计一、实验目的(1)验证组合逻辑电路的功能(2)掌握组合逻辑电路的分析方法(3)掌握用SSI小规模集成器件设计组合逻辑电路的方法(4)了解组合逻辑电路集中竞争冒险的分析和消除方法二、实验设备数字电路实验箱,数字万用表,74LS00,74LS86三、实验原理1.组合逻辑概念通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。
组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路的过去状态无关。
因此,组合电路的特点是无“记忆性”。
在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。
所以各种功能的门电路就是简单的组合逻辑电路。
组合电路的输入信号和输出信号往往不只一个,其功能描述方法通常有函数表达式、真值表,卡诺图和逻辑图等几种。
实验中用到的74LS00和74LS86的引脚图如图所示。
00 四2输入与非门Vcc4B4A4Y3B3A3Y Array 1A1B1Y2A2B2Y GND2.组合电路的分析方法。
组合逻辑电路分析的任务是:对给定的电路求其逻辑功能,即求出该电路的输出与输入之间的关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。
分析一般分为一下几个步骤:(1)由逻辑图写出输出端的逻辑表达式,简历输入和输出之间的关系。
(2)列出真值表。
(3)根据对真值表的分析,确定电路功能。
3.组合逻辑电路的设计方法。
组合逻辑电路设计的任务是:由给定的功能要求,设计出相应的逻辑电路。
一般设计的逻辑电路的过程如图:(1)通过对给定问题的分心,获得真值表。
在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量直接的逻辑关系问题,其输出变量之间是否存在约束关系,从而过得真值表或简化真值表。
(2)通过卡诺图化简或逻辑代数化简得出最简与或表达式,必要时进行逻辑式的变更,最后画出逻辑图。
(3)根据最简逻辑表达式得到逻辑电路图。
四.实验内容。
1.分析,测试半加器的逻辑功能。
半加器全加器的工作原理和设计方法实验报告
半加器全加器的工作原理和设计方法实验报告
一、实验目的
1、了解数字电路的基本运算电路,如半加器和全加器。
二、实验器材
集成电路IC:74LS86、74LS83A、定时器CD4017
三、实验原理
1、半加器
半加器的功能是对两个二进制位的加法进行部分运算,即进行逐位相加,得到次位的进位信号和本位的和信号,半加器的运算法则如下:
• 0+0=0,S=0,C=0
其中,S为和信号,C为进位信号。
半加器的逻辑电路图如图1所示:
其中,传输门XOR gate为异或门,SUM为和信号输出端,CARRY为进位信号输出端。
2、全加器
图2. 全加器逻辑电路图
四、实验内容
将集成电路74LS86的引脚定义为X1、X2、不连、SUM、CARRY,输入进位信号CARRY 为不连,依次连接如图3所示,将本位输入信号接到X1和X2引脚上,再将SUM和CARRY 引脚接到示波器上,调节示波器显示参数,观察和进位信号输出情况。
将全加器的电路图按照原理图进行布线,如图4所示:
五、实验结果
将X1和X2输入信号分别输入1和0,观察示波器上和进位信号输出情况如图5所示:
图5. 半加器实验结果
该结果表明,1+0=1,和信号S=1,进位信号C=0,符合半加器的逻辑运算法则。
3、实验验证了半加器和全加器的逻辑运算法则和逻辑电路设计方法。
(Multisim数电仿真)半加器和全加器
实验3.5半加器和全加器、实验目的:1. 学会用电子仿真软件Multisim7进行半加器和全加器仿真实验。
2 •学会用逻辑分析仪观察全加器波形:3. 分析二进制数的运算规律。
4. 掌握组合电路的分析和设计方法。
5. 验证全加器的逻辑功能。
、实验准备:组合电路的分析方法是根据所给的逻辑电路,写出其输入与输出之间的逻辑关系(逻辑函数表达式或真值表),从而评定该电路的逻辑功能的方法。
一般是首先对给定的逻辑电路,按逻辑门的连接方法,逐一写出相应的逻辑表达式,然后写出输出函数表达式,这样写出的逻辑函数表达式可能不是最简的,所以还应该利用逻辑代数的公式或者卡诺图进行简化。
再根据逻辑函数表达式写出它的真值表,最后根据真值表分析出函数的逻辑功能。
例如:要分析如图3.5.1所示电路的逻辑功能。
图3.5.11. 写输出函数丫的逻辑表达式:W 二AAB ABB ......................................... 3.5.1X =WWC WCC ....................................... 3.5.2丫= XXD XDD ........................................ 3.5.32. 进行化简:W = AAB ABB 二AB AB ................................................................... 3.5.4X =WC Wc 二 ABC ABC ABC ABC ............................................... 5.5 …..3.Y =XD X D 二A BCD ABCD ABCD ABCD逻辑图是一个检奇电路。
输入变量的取值中,有奇数个 1则有输出,否则 无输出。
组合电路的设计目的就是根据实际的逻辑问题,通过写出它的真值表和逻辑 函数表达式,最终找到实现这个逻辑电路的器件,将它们组成最简单的逻辑电路。
全加器实验报告
全加器实验报告全加器实验报告引言:全加器是数字电路中的基本组件之一,用于实现两个二进制数的加法运算。
在本次实验中,我们将学习如何设计和实现一个全加器电路,并通过实验验证其正确性和可靠性。
实验目的:1. 理解全加器的原理和工作方式;2. 学习使用逻辑门实现全加器电路;3. 掌握实验仪器的使用方法;4. 验证全加器电路的正确性和可靠性。
实验器材:1. 实验板2. 逻辑门芯片(与门、或门、非门)3. 连线4. 电源实验步骤:1. 首先,我们需要了解全加器的原理。
全加器由两个半加器和一个额外的输入端组成。
半加器用于计算两个输入位的和与进位,而额外的输入端用于接收前一位的进位。
全加器的输出包括两个部分:当前位的和和当前位的进位。
2. 根据全加器的原理,我们可以使用逻辑门来实现它。
首先,使用与门计算当前位的进位。
将两个输入位和前一位的进位作为与门的输入,输出结果为当前位的进位。
3. 接下来,使用或门计算当前位的和。
将两个输入位和前一位的进位作为或门的输入,输出结果为当前位的和。
4. 最后,使用非门将当前位的进位取反,作为全加器的输出。
5. 按照上述设计,将逻辑门芯片连接到实验板上。
确保连接的正确性和稳定性。
6. 给实验电路供电,并输入测试数据。
观察输出结果是否符合预期。
实验结果:通过实验,我们成功实现了一个全加器电路,并验证了其正确性和可靠性。
输入不同的测试数据,我们得到了相应的输出结果。
这证明了全加器电路的功能和性能。
讨论与分析:全加器是数字电路中的重要组件,广泛应用于计算机和其他数字系统中。
它的设计和实现对于数字电路的正确运行至关重要。
通过本次实验,我们深入了解了全加器的原理和工作方式,并通过实验验证了其正确性和可靠性。
然而,在实际应用中,全加器电路可能会面临一些问题。
例如,输入信号的噪声、电源波动等因素都可能影响全加器的性能。
因此,在实际设计中,需要采取一些措施来提高全加器电路的抗干扰性和稳定性。
另外,全加器电路的设计还可以进一步优化。
数电实验报告半加全加器
实验二半加/减器与全加/减器一、实验目的:(1)掌握全加器和半加器的逻辑功能。
(2)熟悉集成加法器的使用方法。
(3)了解算术运算电路的结构。
二、实验设备:1、74LS00(二输入端四与非门)2、74LS86(二输入端四异或门)3、数字电路实验箱、导线若干。
(74LS00引脚图) (74LS86引脚图)三、实验原理:两个二进制数相加,叫做半加,实现半加操作的电路,称为半加器。
A表示被加数,B表示加数,S表示半加和,Co 表示向高位的进位。
全加器能进行加数、被加数和低位来的信号相加,并给出该位的进位信号以及和。
四、实验内容:用74LS00和74LS86实现半加器、全加器的逻辑电路功能。
(一)半加器、半减器M=0时实现半加,M=1时实现半减,真值表如下:功能M A B S C半加0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1半减1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0(半加器图形符号)2、MAB00 01 11 100 0 1 1 01 1 0 0 1BABABAS⊕=+=MAB00 01 11 100 0 0 0 01 0 1 0 1)(MABC⊕=(二)全加器、全减器M A B 1-i CS i C0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 1 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 0 0 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 1 0 1 1 1 0 0 1 0 1 1 0 1 0 1 1 1 1 0 0 1 1 111 111-i C B A S ⊕⊕=))((1-i C B A M BC C i ⊕⊕•=五、实验结果半加器:B A B A B A S ⊕=+= )(M A B C ⊕=全加器:1-i C B A S ⊕⊕=M C M C C i 21+=其中11()i C A B C AB -=⊕+,21()i C A B C AB -=+为了方便,以下1i C -用C 表示()()(()()()I C AB AB CM AB AB CM ABM ABM ABCM ABCM ABCM ABCM ABM ABMABCM ABCM ABCM ABCM ABCM ABCM ABCM ABCM BC ABCM ABCM ABCM ABCM M A B C BC =+++++=+++++=+++++++=++++=⊕⊕ 则))((1-i C B A M BC C i ⊕⊕•=六、心得体会本次实验做的是半加/减器和全加/减器两个电路,比上次实验复杂很多,因此充满了挑战性。
全加器的设计实验报告
全加器的设计实验报告《全加器的设计实验报告》摘要:本实验旨在设计并实现一个全加器电路,用于对两个二进制数进行加法运算。
通过实验,我们成功地设计了一个全加器电路,并进行了验证和测试。
实验结果表明,该全加器能够正确地对两个二进制数进行加法运算,并输出正确的结果。
引言:全加器是数字电路中常用的逻辑电路之一,用于对两个二进制数进行加法运算。
它由两个半加器和一个或门组成,能够实现对两个二进制数的加法运算,并输出相应的结果。
在本次实验中,我们将设计并实现一个全加器电路,并对其进行验证和测试。
设计与实现:首先,我们根据全加器的逻辑功能和真值表,设计了相应的电路图。
然后,我们选择适当的逻辑门和触发器进行电路的实现。
在实验中,我们采用了集成电路来实现全加器电路,并通过连接适当的引脚,将其组成一个完整的电路。
最后,我们对电路进行了验证和测试,确保其能够正确地进行加法运算。
实验结果:经过验证和测试,我们成功地实现了一个全加器电路,并对其进行了测试。
实验结果表明,该全加器能够正确地对两个二进制数进行加法运算,并输出正确的结果。
在不同的输入条件下,我们都得到了正确的输出结果,证明了该全加器的正确性和可靠性。
结论:通过本次实验,我们成功地设计并实现了一个全加器电路,并对其进行了验证和测试。
实验结果表明,该全加器能够正确地对两个二进制数进行加法运算,并输出正确的结果。
这为我们进一步深入理解数字电路和逻辑电路提供了重要的实践基础。
同时,我们也发现了一些问题和改进的空间,为今后的研究和实践提供了有益的启示。
希望通过本次实验,能够对数字电路的设计与实现有更深入的理解。
半加器全加器
浙江万里学院实验报告
课程名称:电子技术基础
实验名称:半加器与全加器实验专业班级:
一、实验目的
1.学习使用异或门组成半加器和全加器;
2.测试集成4位二进制全加器74LS83的逻辑功能。
二、实验内容
1.用异或门和与非门构成半加器(电路如图所示)
半加器输入、输出关系表
2.用异或门和与非门构成全加器
(其他图类似,省略)
全加器输入、输出关系
3.74LS83型4位二进制加法器功能测试(电路图如图所示)
(其他图都是类似的,所以就省略)
4.用74LS83实现十六进制到BCD码的转换
(其他图类
似,所以省略) 实验小结
通过实验异或门和非门构成的半加器和全加器的实验,让我掌握了两种门是如何构成全、半加器,怎么实现逻辑功能,通过课上连接的实物电路图和课下的仿真得到数据,通过数据分析得到了所要预期的结果和功能;然后通过74LS83型4位二进制加法器和74LS83的十六进制到BCD 码的转换这2个实验,明白了74LS83加法器的逻辑功能,但是74LS83的十六进制到BCD 码的转化由于比较复杂,通过和同学、老师交流解决了一些难点,并且通过仿真得到了实验结果。
实验一、半加器、全加器实验报告
实验一、 半加器 全加器设计 实验报告
专业班级:
学号:
姓名:
一、实验目的
1.初步掌握 Quartus 开发系统的使用 2.掌握原理图的设计方法 3.掌握组合逻辑电路的设计方法,理解组合电路的特点 二、实验原理
。
(2)在工程文件中添加源文件(file/new)
在出现的对话框中,选择 Design Files 中的选择
(Block Diagram/Schematic File /
VHDL file),打开图形编辑器。
(3)在原理图编辑窗口输入半加器、全加器原理图,保存文件。
在编辑窗口中
可调出器件库的对话框,保存文件时,保存在工程文件的文
根据管脚锁定的方案,操作仪器,记录数据。
半加器: 全加器:
输入
a
b
0
0
0
1
1
0
1
1
输出
sh
ch
输入
a
b
ci-1
0
0
0
0
0
1
0
1
0
0
1
1
1
0
0
1
0
1
1
1
0
1
1
1
输出
si
ci
思考组合逻辑电路的特点: 六、实验总结(总结本次实验收获,实验中应该注意的事项)
加法运算是计算机中最基本的一种算术运算。能完成两个一位二进制数的相加运算并
求得“和”及“进位”逻辑电路,称为半加器。全加器是完成两个一位二进制数相加,并考虑低 位来的进位,即相当于将三个一位二进制数相加的电路。
【可修改】组合逻辑电路设计之全加器、半加器.doc
班级 姓名 学号实验二 组合电路设计一、实验目的(1) 验证组合逻辑电路的功能 (2) 掌握组合逻辑电路的分析方法(3) 掌握用SSI 小规模集成器件设计组合逻辑电路的方法 (4) 了解组合逻辑电路集中竞争冒险的分析和消除方法 二、实验设备数字电路实验箱,数字万用表,74LS00,74LS86 三、实验原理 1.组合逻辑概念通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。
组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路的过去状态无关。
因此,组合电路的特点是无“记忆性”。
在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。
所以各种功能的门电路就是简单的组合逻辑电路。
组合电路的输入信号和输出信号往往不只一个,其功能描述方法通常有函数表达式、真值表,卡诺图和逻辑图等几种。
实验中用到的74LS00和74LS86的引脚图如图所示。
2.组合电路的分析方法。
组合逻辑电路分析的任务是:对给定的电路求其逻辑功能,即求出该电路的输出与输入之间的关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。
分析一般分为一Vcc4B 4A4Y3B3A3Y1A1B1Y2A2B2YGND00 四2输入与非门下几个步骤:(1)由逻辑图写出输出端的逻辑表达式,简历输入和输出之间的关系。
(2)列出真值表。
(3)根据对真值表的分析,确定电路功能。
3.组合逻辑电路的设计方法。
组合逻辑电路设计的任务是:由给定的功能要求,设计出相应的逻辑电路。
一般设计的逻辑电路的过程如图:(1)通过对给定问题的分心,获得真值表。
在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量直接的逻辑关系问题,其输出变量之间是否存在约束关系,从而过得真值表或简化真值表。
(2)通过卡诺图化简或逻辑代数化简得出最简与或表达式,必要时进行逻辑式的变更,最后画出逻辑图。
(3)根据最简逻辑表达式得到逻辑电路图。
四.实验内容。
组合逻辑电路设计之全加器、半加器
班级姓名学号实验二组合电路设计一、实验目的(1)验证组合逻辑电路的功能(2)掌握组合逻辑电路的分析方法(3)掌握用SSI小规模集成器件设计组合逻辑电路的方法(4)了解组合逻辑电路集中竞争冒险的分析和消除方法二、实验设备数字电路实验箱,数字万用表,74LS00,74LS86三、实验原理1.组合逻辑概念通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。
组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路的过去状态无关。
因此,组合电路的特点是无“记忆性”。
在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。
所以各种功能的门电路就是简单的组合逻辑电路。
组合电路的输入信号和输出信号往往不只一个,其功能描述方法通常有函数表达式、真值表,卡诺图和逻辑图等几种。
实验中用到的74LS00和74LS86的引脚图如图所示。
00 四2输入与非门Vcc4B4A4Y3B3A3Y Array 1A1B1Y2A2B2Y GND2.组合电路的分析方法。
组合逻辑电路分析的任务是:对给定的电路求其逻辑功能,即求出该电路的输出与输入之间的关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。
分析一般分为一下几个步骤:(1)由逻辑图写出输出端的逻辑表达式,简历输入和输出之间的关系。
(2)列出真值表。
(3)根据对真值表的分析,确定电路功能。
3.组合逻辑电路的设计方法。
组合逻辑电路设计的任务是:由给定的功能要求,设计出相应的逻辑电路。
一般设计的逻辑电路的过程如图:(1)通过对给定问题的分心,获得真值表。
在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量直接的逻辑关系问题,其输出变量之间是否存在约束关系,从而过得真值表或简化真值表。
(2)通过卡诺图化简或逻辑代数化简得出最简与或表达式,必要时进行逻辑式的变更,最后画出逻辑图。
(3)根据最简逻辑表达式得到逻辑电路图。
四.实验内容。
1.分析,测试半加器的逻辑功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验一 半加器和全加器的设计
一、 实验目的
1、掌握图形的设计方式;
2、掌握自建元件及调用自建元件的方法;
3、熟练掌握MAXPLUS II 的使用。
二、实验内容
1、熟练软件基本操作,完成半加器和全加器的设计;
2、正确设置仿真激励信号,全面检测设计逻辑;
3、综合下载,进行硬件电路测试。
三、实验原理
1、半加器的设计
半加器只考虑了两个加数本身,没有考虑由低位来的进位。
半加器真值表:
半加器逻辑表达式:B A B A B A S ⊕=+=;AB C = LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT(
A:IN STD_LOGIC; B:IN STD_LOGIC;
SO:OUT STD_LOGIC;
CO:OUT STD_LOGIC
);
END ENTITY h_adder;
ARCHITECTURE fh1 OF h_adder IS
BEGIN
SO <= A XOR B;
CO <= A AND B;
END ARCHITECTURE fh1;
A:60ns B:30ns
2.全加器的设计
全加器除考虑两个加数外,还考虑了低位的进位。
全加器真值表:
全加器逻辑表达式:
1-⊕⊕=i i i i C B A S ;AB C B A C i i i i +⊕=-1)(
3、利用半加器元件完成全加器的设计 (1)图形方式
其中HADDER 为半加器元件。
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder IS
PORT(ain,bin,cin:IN STD_LOGIC; cout,sum:out STD_LOGIC); END ENTITY f_adder;
ARCHITECTURE fd1 OF f_adder IS COMPONENT h_adder PORT(
A:IN STD_LOGIC; B:IN STD_LOGIC;
SO:OUT STD_LOGIC;
CO:OUT STD_LOGIC
);
END COMPONENT;
COMPONENT or2a
PORT(
a:IN STD_LOGIC;
b:IN STD_LOGIC;
c:OUT STD_LOGIC
);
END COMPONENT;
SIGNAL net1,net2,net3:STD_LOGIC;
BEGIN
u1:h_adder port MAP(A=>ain,B=>bin,CO=>net2,SO=>net1); u2:h_adder port MAP(net1,cin,net3,sum);
u3: or2a port MAP(a=>net2,b=>net3,c=>cout);
END ARCHITECTURE fd1;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY or2a IS
PORT(a,b:IN STD_LOGIC;
c:out STD_LOGIC);
END ENTITY or2a;
ARCHITECTURE one OF or2a IS
BEGIN
c <= a OR b;
END ARCHITECTURE one;
ain:60ns bin:50ns cin:40ns
四、实验步骤
1.对文件进行建立,是txt
2.添加相关程序,保存文件位vhd
3.设置工程为底层
4.对程序进行编译
5.没有错后对波形图进行新建
6.设置输入得出输出波形,与真值表进行对照
五、实验小结:
本次实验针对半加器和全加器的设计与仿真相对简单,而半加器可以说是全加器的重要组成部分,实验过程从建立文件对程序进行编写到波形的仿真验证都相对容易,其中在全加器中出现问题,由于对程序的理解不到位,并没有建立调用声明门元件的相关程序。
经此次实验以及对相关知识的回顾,对于VHDL设计更加明了。