数电实验实验报告
数电实验报告半加全加器
数电实验报告半加全加器实验目的:掌握半加器和全加器的原理和应用,了解半加器和全加器的构造和工作原理。
实验器材:逻辑电路实验箱、7400四与非门、7402四与非门、7408四与门、7432四或门、7447数码显示器、开关、电源、跳线等。
实验原理:半加器和全加器是数字电路中常用的基本逻辑电路,用于对二进制进行加法运算,主要用于数字电路中的算术逻辑单元(ALU)。
1.半加器实验原理:半加器是一种能够对两个二进制位进行加法运算的电路。
半加器有两个输入端和两个输出端,输入端分别为A和B,输出端分别为S和C。
其中,A和B分别为要加的两个二进制数位,S为运算结果的个位,并且用S=A⊕B表示;C为运算结果的十位(进位),C=A·B表示。
半加器的真值表和逻辑符号表达式如下:```A,B,S,C0,0,0,00,1,1,01,0,1,01,1,0,1```2.全加器实验原理:全加器是一种能够对两个二进制位和一个进位信号进行加法运算的电路。
全加器有三个输入端和两个输出端,输入端分别为A、B和Cin,输出端分别为S和Cout。
其中,A和B分别为要加的两个二进制数位,Cin 为上一位的进位信号,S为运算结果的个位,并且用S=A ⊕ B ⊕ Cin表示;Cout为运算结果的十位(进位),Cout=(A·B) + (A·Cin) + (B·Cin)表示。
全加器的真值表和逻辑符号表达式如下:```A ,B , Cin , S , Cout0,0,0,0,00,0,1,1,00,1,0,1,00,1,1,0,11,0,0,1,01,0,1,0,11,1,0,0,11,1,1,1,1```实验步骤:1.首先,按照实验原理连接逻辑门实验箱中的电路。
将7400四与非门的1、2号引脚分别连接到开关1、2上,将开关3连接到7400的3号引脚,将开关4连接到7400的5号引脚,将7400的6号引脚连接到LED1上,表示半加器的进位输出。
数电实验报告触发器及其应用(共10篇)
数电实验报告触发器及其应用(共10篇)1、实验目的:掌握触发器的原理和使用方法,学会利用触发器进行计数、存储等应用。
2、实验原理:触发器是一种多稳态数字电路,具有存储、计数、分频、时序控制等功能。
常见的触发器有RS触发器、D触发器、T触发器、JK触发器等。
RS触发器是由两个交叉互连的反相器组成的,它具有两个输入端R(复位)和S(置位),一个输出端Q。
当输入R=1,S=0时,Q=0;当输入R=0,S=1时,Q=1;当R=S=1时,无法确定Q的状态,称为禁态。
JK触发器是将RS触发器的两个输入端合并在一起而成,即J=S,K=R,当J=1,K=0时,Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q反转。
JK触发器具有启动、停止、颠倒相位等功能。
D触发器是由单个输入端D、输出端Q和时钟脉冲输入端组成的,当时钟信号上升沿出现时,D触发器的状态发生改变,如果D=1,Q=1;如果D=0,Q=0。
T触发器只有一个输入端T和一个输出端Q,在每个时钟脉冲到来时,T触发器执行T→Q操作,即若T=1,则Q取反;若T=0,则Q保持不变。
触发器可以组成计数器、分频器、存储器、状态机等各种数字电路,被广泛用于计算机、控制系统等领域。
3、实验器材:数码万用表、示波器、逻辑分析仪、CD4013B触发器芯片、几个电阻、电容、开关、信号发生器等。
4、实验内容:4.1 RS触发器测试利用CD4013B芯片来测试RS触发器的功能,在实验中将RS触发器的输入端分别接入CD4013B芯片的端子,用示波器观察输出端的波形变化,并记录下输入输出关系表格,来验证RS触发器的工作原理。
具体实验步骤如下:将CD4013B芯片的端子按如下接线方式连接:RST1,2脚接入+5V电源,C1个100nF的电容与单位时间5 ns的外部时钟信号交替输入接口CLK,以模拟器件为master时,向器件提供单个时钟脉冲。
测试时选择适宜的数据输入,R1和S2另一端程+5V,S1和R2另一端连接接地GND,用万用表测量各端电压,电容缓存的电压。
数字电子技术 实验报告
实验一组合逻辑电路设计与分析1.实验目的(1)学会组合逻辑电路的特点;(2)利用逻辑转换仪对组合逻辑电路进行分析与设计。
2.实验原理组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。
根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。
图1-1 组合逻辑电路的分析步骤根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进行设计。
图1-2 组合逻辑电路的设计步骤3.实验电路及步骤(1)利用逻辑转换仪对已知逻辑电路进行分析。
a.按图1-3所示连接电路。
b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出简化表达式后,得到如图1-4所示结果。
观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。
因此这是一个四位输入信号的奇偶校验电路。
图1-4 经分析得到的真值表和表达式(2)根据要求利用逻辑转换仪进行逻辑电路的设计。
a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾探测器。
为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。
b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。
因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。
图1-5 经分析得到的真值表(3)在逻辑转换仪面板上单击由真值表到处简化表达式的按钮后得到最简化表达式AC+AB+BC。
4.实验心得通过本次实验的学习,我们复习了数电课本关于组合逻辑电路分析与设计的相关知识,掌握了逻辑转换仪的功能及其使用方法。
数电实验报告实验
一、实验目的1. 理解和掌握数字电路的基本原理和设计方法。
2. 培养动手能力和实验技能。
3. 提高分析问题和解决问题的能力。
二、实验原理数字电路是一种以二进制为基础的电路,其基本元件是逻辑门和触发器。
本实验主要涉及以下几种逻辑门:与门、或门、非门、异或门、同或门、与非门、或非门等。
1. 与门(AND Gate):当所有输入端都为高电平时,输出才为高电平。
2. 或门(OR Gate):当至少一个输入端为高电平时,输出为高电平。
3. 非门(NOT Gate):对输入信号取反。
4. 异或门(XOR Gate):当输入端信号不同时,输出为高电平。
5. 同或门(NOR Gate):当输入端信号相同时,输出为高电平。
6. 与非门(NAND Gate):与门和非门的组合。
7. 或非门(NOR Gate):或门和非门的组合。
三、实验器材1. 数字电路实验箱2. 逻辑门芯片3. 电源4. 连接线5. 测试仪器四、实验步骤1. 组成基本逻辑门电路:根据实验原理,搭建与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路。
2. 测试电路功能:使用测试仪器对搭建的电路进行测试,验证电路是否满足基本逻辑功能。
3. 组成组合逻辑电路:根据实验要求,搭建组合逻辑电路,如全加器、半加器、译码器、编码器等。
4. 测试组合逻辑电路:使用测试仪器对搭建的组合逻辑电路进行测试,验证电路是否满足设计要求。
5. 组成时序逻辑电路:根据实验要求,搭建时序逻辑电路,如触发器、计数器、寄存器等。
6. 测试时序逻辑电路:使用测试仪器对搭建的时序逻辑电路进行测试,验证电路是否满足设计要求。
五、实验结果与分析1. 基本逻辑门电路测试结果:根据测试数据,搭建的与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路均满足设计要求。
2. 组合逻辑电路测试结果:根据测试数据,搭建的全加器、半加器、译码器、编码器等组合逻辑电路均满足设计要求。
数电实验报告【武大电气】
数字电路实验报告专业:电气工程与自动化实验一:组合逻辑电路分析一.实验目的1.熟悉大体逻辑电路的特点。
2.熟悉各类门的实物元件和元件的利用和线路连接。
3.学会分析电路功能.二.实验原理1.利用单刀双掷开关的双接点,别离连接高电平和低电平,开关的掷点不同,门电路输入的电平也不同。
2.门电路的输出端连接逻辑指示灯,灯亮则输出为高电平,灯灭则输出低电平。
3.依次通过门电路的输入电平与输出电平,分析门电路的逻辑关系和实现的逻辑功能。
三.实验元件1.74LS00D2.74LS20D四.实验内容(1)实验内容一:a.实验电路图:由上述实验电路图接线,在开关A B C D选择不同组合的高低电平时,通过对灯X1亮暗的观察,可得出上图的逻辑真值表。
b、逻辑电路真值表:实验分析:•=AB+CD ,一样,由真值表也能推出此由实验逻辑电路图可知:输出X1=AB CD方程,说明此逻辑电路具有与或功能。
(2)实验内容2:密码锁a.实验电路图:D 接着通过实验,改变A B C D 的电平,观察灯泡亮暗,得出真值表如下: b.真值表:实验分析:由真值表(表)可知:当ABCD为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。
由此可见,该密码锁的密码ABCD为1001.因此,可以取得:X1=ABCD,X2=1X。
五.实验体会:1. 这次实验应该说是比较简单,只用到了两种不同的与非门组成一些大体的逻辑电路。
2. 分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的彼此转换已抵达实验所要求的目的结果。
3. 咱们组在这次实验进程中出现过连线正确但没出现相应的实验结果的情况。
后经分析发现由于实验器材利用的次数较多,有些器材有所损坏,如一些导线表面是好的,其实内部损坏,因此意识到了连接线路时一是要注意器材的选取,二是在接线前必然注意检查各元件的好坏。
实验二:组合逻辑实验(一)半加器和全加器一.实验目的:熟悉几种元器件所带的门电路,掌握用这些门电路设计一些简单的逻辑组合电路的方式。
数电实验报告数码管显示控制电路设计
数电实验报告数码管显示控制电路设计一、实验目的1.学习数码管介绍和使用;2.熟悉数码管控制电路设计思路和方法;3.掌握数码管显示控制电路的实验过程和步骤。
二、实验原理数码管是数字显示器件,具有低功耗、体积小、寿命长等优点。
常见的数码管有共阳极和共阴极两种。
共阳极数码管的阳极端口是一个共用的端口,通过将不同的阴极端口接地来控制数码管的发光情况。
共阴极数码管的阴极端口是一个共用的端口,通过将不同的阳极端口接地来控制数码管的发光情况。
数码管的控制电路可以使用逻辑门电路或微控制器来实现。
本实验采用逻辑门电路来设计数码管显示控制电路。
三、实验器材和器件1.实验板一块;2.74LS47数码管译码器一颗;3.共阴极数码管四个;4.逻辑门IC:7404、7408、7432各一个;5.杜邦线若干。
四、实验步骤1.将74LS47数码管译码器插入实验板上的相应位置,并用杜邦线连接74LS47和逻辑门IC的引脚:1)将74LS47的A、B、C和D引脚依次连接到7408的输入端;2)将74LS47的LE引脚连接到VCC(高电平,表示使能有效);3)将74LS47的BI/RBO引脚连接到GND(低电平,表示译码输出);4)将7408的输出端依次连接到7432的输入端;5)将7432的输出端依次连接到数码管的阴极端口。
2.将四个数码管的阳极端口分别连接到4个控制开关上,并将开关接地。
3.将实验电路接入电源,调整电压和电流,观察数码管的显示情况。
五、实验结果和分析实验结果显示,控制开关的状态可以控制数码管的显示内容。
当其中一控制开关接地时,对应的数码管会显示相应的数字。
通过调整开关的状态,可以实现不同数字的显示。
六、实验总结通过这次实验,我学会了数码管的基本使用方法和控制电路的设计思路。
数码管作为一种数字显示元件,广泛应用于各种电子产品中,掌握其控制方法对于电子工程师来说非常重要。
在今后的学习和工作中,我将继续深入研究数码管的相关知识和应用,提高自己的技术水平。
数电实验报告实验一心得
数电实验报告实验一心得引言本实验是数字电路课程的第一次实验,旨在通过实际操作和观察,加深对数字电路基础知识的理解和掌握。
本次实验主要涉及布尔代数、逻辑门、模拟开关和数字显示等内容。
在实验过程中,我对数字电路的原理和实际应用有了更深入的了解。
实验一:逻辑门电路的实验实验原理逻辑门是数字电路中的基本组件,它能够根据输入的布尔值输出相应的结果。
常见的逻辑门有与门、或门、非门等。
本次实验主要是通过搭建逻辑门电路实现布尔函数的运算。
实验过程1. 首先,我按照实验指导书上的电路图,使用示波器搭建了一个简单的与门电路。
并将输入端连接到两个开关,输出端连接到示波器,以观察电路的输入和输出信号变化。
2. 其次,我打开示波器,观察了两个开关分别为0和1时的输出结果。
当两个输入均为1时,示波器上的信号为高电平,否则为低电平。
3. 我进一步观察了两个开关都为1时的输出信号波形。
通过示波器上的脉冲信号可以清晰地看出与门的实际运行过程,验证了实验原理的正确性。
实验结果和分析通过本次实验,我成功地搭建了一个与门电路,并观察了输入和输出之间的关系。
通过示波器上的信号波形,我更加直观地了解了数字电路中布尔函数的运算过程。
根据实验结果和分析,我可以总结出:1. 逻辑门电路可以根据布尔函数进行输入信号的运算,输出相应的结果。
2. 在与门电路中,当输入信号均为1时,输出信号为1,否则为0。
3. 示例器可以实时显示电路的输入和输出信号波形,方便实验者观察和分析。
结论通过本次实验,我对数字电路的基本原理和逻辑门电路有了更深刻的理解。
我学会了如何搭建逻辑门电路,并通过示波器观察和分析输入和输出信号的变化。
这对我进一步理解数字电路的设计和应用具有重要意义。
通过实验,我还锻炼了动手操作、实际观察和分析问题的能力。
实验过程中,需要认真对待并细致观察电路的运行情况,及时发现和解决问题。
这些能力对于今后的学习和研究都非常重要。
总之,本次实验让我更好地理解了数字电路的基本原理和应用,提高了我的实验能力和观察分析能力。
数电实验报告答案
实验名称:数字电路基础实验实验目的:1. 熟悉数字电路的基本原理和基本分析方法。
2. 掌握数字电路实验设备的使用方法。
3. 培养动手实践能力和分析问题、解决问题的能力。
实验时间:2023年X月X日实验地点:实验室XX室实验仪器:1. 数字电路实验箱2. 万用表3. 双踪示波器4. 数字信号发生器5. 短路线实验内容:一、实验一:基本逻辑门电路实验1. 实验目的- 熟悉与门、或门、非门的基本原理和特性。
- 学习逻辑门电路的测试方法。
2. 实验步骤- 连接实验箱,设置输入端。
- 使用万用表测量输出端电压。
- 记录不同输入组合下的输出结果。
- 分析实验结果,验证逻辑门电路的特性。
3. 实验结果与分析- 实验结果与理论预期一致,验证了与门、或门、非门的基本原理。
- 通过实验,加深了对逻辑门电路特性的理解。
二、实验二:组合逻辑电路实验1. 实验目的- 理解组合逻辑电路的设计方法。
- 学习使用逻辑门电路实现组合逻辑电路。
2. 实验步骤- 根据设计要求,绘制组合逻辑电路图。
- 连接实验箱,设置输入端。
- 测量输出端电压。
- 记录不同输入组合下的输出结果。
- 分析实验结果,验证组合逻辑电路的功能。
3. 实验结果与分析- 实验结果符合设计要求,验证了组合逻辑电路的功能。
- 通过实验,掌握了组合逻辑电路的设计方法。
三、实验三:时序逻辑电路实验1. 实验目的- 理解时序逻辑电路的基本原理和特性。
- 学习使用触发器实现时序逻辑电路。
2. 实验步骤- 根据设计要求,绘制时序逻辑电路图。
- 连接实验箱,设置输入端和时钟信号。
- 使用示波器观察输出波形。
- 记录不同输入组合和时钟信号下的输出结果。
- 分析实验结果,验证时序逻辑电路的功能。
3. 实验结果与分析- 实验结果符合设计要求,验证了时序逻辑电路的功能。
- 通过实验,加深了对时序逻辑电路特性的理解。
四、实验四:数字电路仿真实验1. 实验目的- 学习使用数字电路仿真软件进行电路设计。
数电实验报告(含实验内容)
数电实验报告(含实验内容)班级:专业:姓名:学号:实验一用与非门构成逻辑电路一、实验目的1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能二、实验设备及器材KHD-2 实验台集成 4 输入2 与非门74LS20集成 2 输入4 与非门74LS00 或CC4011三、实验原理本实验用的逻辑图如图 2-1 所示图1-1图1-1四、实验内容及步骤1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。
2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。
3、用与非门实现以下逻辑函数式,测试其逻辑功能,将结果填入表1-3中。
Y(A,B,C)=A’B+B’C+AC班级:专业:姓名:学号:五、实验预习要求1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。
六、实验报告1、将实验数据整理后填入相关的表格中2、分别说明各逻辑电路图所实现的逻辑功能A B C Z A B C Y表1-1 表1-2A B C Y 表1-3班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试一、实验目的1、掌握组合逻辑电路的设计与测试方法2、进一步熟悉常用集成门电路的逻辑功能及使用二、实验设备及器材KHD-2 实验台4 输入2 与非门74LS202 输入4 与非门74LS00 或CC4011三、实验原理使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。
设计组合电路的一般步骤如图2-1 所示。
图 2-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表。
然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。
并按实际选用逻辑门的类型修改逻辑表达式。
根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。
数电实验报告实验二利用MSI设计组合逻辑电路
数电实验报告实验二利用MSI设计组合逻辑电路一、实验目的1. 学习MSI(Medium Scale Integration,即中规模集成电路)的基本概念和应用。
2.掌握使用MSI设计和实现组合逻辑电路的方法。
3.了解MSI的类型、特点及其在实际电路设计中的作用。
二、实验设备与器件1.实验设备:示波器、信号发生器、万用表。
2.实验器件:组合逻辑集成电路74LS151三、实验原理1.MSI的概念MSI是Medium Scale Integration的简称,指的是中规模集成电路。
MSI由几十个至几千个门电路组成,功能比SSI(Small Scale Integration,即小规模集成电路)更为复杂,但比LSI(Large Scale Integration,即大规模集成电路)简单。
2.74LS151介绍74LS151是一种常用的组合逻辑集成电路之一,具有8个输入端和1个输出端。
其功能是从八个输入信号中选择一个作为输出。
利用该器件可以轻松实现数据选择器、多路选择器等功能。
四、实验内容本实验的任务是利用74LS151设计一个简单的多路选择器电路。
具体实验步骤如下:1.将74LS151插入实验板中,注意引脚的正确连接。
2.将信号发生器的输出接入到74LS151的A、B、C三个输入端中,分别作为输入0、输入1、输入2、将示波器的探头分别接到74LS151的输出端Y,记录下不同输入情况下Y的输出情况。
3.分别将信号发生器的输出接入74LS151的D0、D1、D2、D3、D4、D5、D6、D7八个输入端,接通电源,记录下不同输入情况下Y的输出情况。
4.通过以上实验数据,绘制74LS151的真值表。
五、实验结果与数据处理根据实验步骤所述,我们完成了实验,并得到了以下数据:输入0:0000001111001111输入1:1111110010100101输入2:1010101001010101根据这些数据,我们可以绘制74LS151的真值表如下:输入0,输入1,输入2,输出Y--------,--------,--------,--------0,0,0,00,0,1,10,1,0,00,1,1,11,0,0,11,0,1,01,1,0,11,1,1,1六、实验总结通过本次实验,我们学习了MSI的基本概念和应用,初步掌握了使用MSI设计和实现组合逻辑电路的方法。
数电实验报告
数电实验报告实验目的:本实验旨在通过实际操作,加深对数电原理的理解,掌握数字电子技术的基本原理和方法,培养学生的动手能力和实际应用能力。
实验仪器和设备:1. 示波器。
2. 信号发生器。
3. 逻辑分析仪。
4. 电源。
5. 万用表。
6. 示教板。
7. 电路元件。
实验原理:数电实验是以数字电子技术为基础,通过实验操作来验证理论知识的正确性。
数字电子技术是一种以数字信号为工作对象,利用电子器件实现逻辑运算、数字存储、数字传输等功能的技术。
本次实验主要涉及数字逻辑电路的设计与实现,包括基本逻辑门的组合、时序逻辑电路、触发器等。
实验内容:1. 实验一,基本逻辑门的实验。
在示教板上搭建与非门、或门、与门、异或门等基本逻辑门电路,通过输入不同的逻辑信号,观察输出的变化情况,并记录实验数据。
2. 实验二,时序逻辑电路的实验。
利用触发器、计数器等元件,设计并搭建一个简单的时序逻辑电路,通过改变输入信号,验证电路的功能和正确性。
3. 实验三,逻辑分析仪的应用。
利用逻辑分析仪对实验中的数字信号进行观测和分析,掌握逻辑分析仪的使用方法,提高实验数据的准确性。
实验步骤:1. 按照实验指导书的要求,准备好实验仪器和设备,检查电路连接是否正确。
2. 依次进行各个实验内容的操作,记录实验数据和观察现象。
3. 对实验结果进行分析和总结,查找可能存在的问题并加以解决。
实验结果与分析:通过本次实验,我们成功搭建了基本逻辑门电路,观察到了不同输入信号对输出的影响,验证了逻辑门的功能和正确性。
在时序逻辑电路实验中,我们设计并搭建了一个简单的计数器电路,通过实验数据的记录和分析,验证了电路的正常工作。
逻辑分析仪的应用也使我们对数字信号的观测和分析有了更深入的了解。
实验总结:本次数电实验不仅加深了我们对数字电子技术的理解,还培养了我们的动手能力和实际应用能力。
在实验过程中,我们遇到了一些问题,但通过认真分析和思考,最终都得到了解决。
这次实验让我们深刻体会到了理论与实践相结合的重要性,也让我们对数字电子技术有了更加深入的认识。
数电实验报告 数据选择器及其应用
实验2实验报告数据选择器及其应用一、实验目的1.了解组合逻辑电路的设计步骤、分析方法和测试方法;2.掌握数据选择器的工作原理与逻辑功能;3.掌握双四选一数据选择器74LS153的应用。
二、实验设备1.数字电路实验箱2 、数字双踪示波器3.集成电路: 74LS004、集成电路: 74LS153三、实验内容1.测试双四选一数据选择器74LS153的逻辑功能;2、设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F;3.用74LS00与74LS153设计一位全加器。
四、实验结果1、测试双四选一数据选择器74LS153的逻辑功能。
如图S5和S6分别接A和B, 负责输入地址;S1.S2.S3.S4为上面选择器的四个输入;S7、S8、S9、S10为下面选择器的四个输入。
举例说明:如图所示, 当S5和S6都输入高电平时, 选择输出1C3和2C3的内容, 即S4和S10的输入均为高电平, 小灯亮。
设某一导弹发射控制机构有两名司令员A.B和两名操作员C.D, 只有当两名司令员均同意发射导弹攻击目标且有操作员操作, 则发射导弹F。
由题意可得出逻辑表达式如下:F=AB(C+D)分析: 由于只有A.B都为高电平时F才有可能输出高电平, 所以让A和B作为地址输入端。
而当A.B均为高电平时, C和D任意一个为高电平则F为高电平。
所以用74LS00实现C和电路图如下:S1、S2接地址选择端, S3、S4先做或运算再接1C3端。
2、用74LS00和可以通B S CI过降维将输入位A和B作为地址选择位,进位位和以及0和1作为被选择数据输入,表示S和CO。
真值表如下:A0 0 CI 低0 1 CI非CI1 0 CI非CI1 1 CI 高五、故障排除在做第二个实验内容的时候, 发现A.B值不是高电平的时候小灯也会亮。
经过检查电路发现1C0, 1C1, 1C2悬空了, 相当于接了高电平。
数电设计实验报告
一、实验目的1. 熟悉数字电路的基本组成和设计方法。
2. 学习组合逻辑电路和时序逻辑电路的设计与实现。
3. 掌握Verilog HDL语言进行数字电路的设计与仿真。
4. 提高数字电路分析与设计能力。
二、实验内容本次实验主要设计一个数字钟电路,要求实现以下功能:1. 显示时、分、秒,时间周期为24小时。
2. 时间基准为1秒对应1Hz的时钟信号。
3. 可通过按键进行校时。
三、实验原理数字钟电路主要由以下部分组成:1. 振荡器:产生基准时钟信号。
2. 分频器:将基准时钟信号分频,得到1Hz的时钟信号。
3. 计数器:对1Hz的时钟信号进行计数,实现秒、分、时的计时。
4. 显示器:将计时结果显示出来。
5. 校时电路:通过按键进行校时操作。
四、实验步骤1. 使用Verilog HDL语言编写数字钟电路的代码。
2. 使用ModelSim进行仿真,验证电路功能。
3. 将代码编译并下载到FPGA芯片上。
4. 在FPGA开发板上进行实验,测试电路功能。
五、实验代码```verilogmodule digital_clock(input clk, // 基准时钟信号input rst_n, // 复位信号,低电平有效 input set, // 校时按键output [5:0] h, // 时output [5:0] m, // 分output [5:0] s // 秒);reg [23:0] counter; // 计数器reg [23:0] h_counter; // 时计数器reg [23:0] m_counter; // 分计数器reg [23:0] s_counter; // 秒计数器// 时计数器always @(posedge clk or negedge rst_n) beginif (!rst_n) beginh_counter <= 24'd0;end else beginif (counter >= 24'd86400) beginh_counter <= h_counter + 24'd1;counter <= 24'd0;end else begincounter <= counter + 24'd1;endendend// 分计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) beginm_counter <= 24'd0;end else beginif (h_counter >= 24'd24) beginm_counter <= m_counter + 24'd1; h_counter <= 24'd0;end else beginm_counter <= m_counter + 24'd1; endendend// 秒计数器always @(posedge clk or negedge rst_n) begin if (!rst_n) begins_counter <= 24'd0;end else beginif (m_counter >= 24'd59) begins_counter <= s_counter + 24'd1;m_counter <= 24'd0;end else begins_counter <= s_counter + 24'd1;endendend// 时、分、秒输出assign h = h_counter[5:0];assign m = m_counter[5:0];assign s = s_counter[5:0];endmodule```六、实验结果1. 仿真结果:使用ModelSim对代码进行仿真,验证电路功能。
数电实验报告东大
一、实验目的1. 理解数字电路的基本组成和基本原理。
2. 掌握常用数字电路的分析和设计方法。
3. 提高动手实践能力,加深对数字电路理论知识的理解。
二、实验内容本次实验主要包含以下内容:1. 数字电路基础实验2. 组合逻辑电路实验3. 时序逻辑电路实验三、实验仪器与设备1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 计算器5. 实验指导书四、实验原理1. 数字电路基础实验:通过实验了解数字电路的基本组成和基本原理,包括逻辑门、编码器、译码器等。
2. 组合逻辑电路实验:通过实验掌握组合逻辑电路的分析和设计方法,包括加法器、编码器、译码器、数据选择器等。
3. 时序逻辑电路实验:通过实验掌握时序逻辑电路的分析和设计方法,包括触发器、计数器、寄存器等。
五、实验步骤1. 数字电路基础实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行逻辑门、编码器、译码器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
2. 组合逻辑电路实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行加法器、编码器、译码器、数据选择器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
3. 时序逻辑电路实验- 连接实验箱,检查电路连接是否正确。
- 按照实验指导书的要求,进行触发器、计数器、寄存器等电路的实验。
- 观察实验结果,分析实验现象,并记录实验数据。
六、实验结果与分析1. 数字电路基础实验- 通过实验,验证了逻辑门、编码器、译码器等电路的基本原理和功能。
- 实验结果符合理论预期,验证了数字电路的基本组成和基本原理。
2. 组合逻辑电路实验- 通过实验,掌握了组合逻辑电路的分析和设计方法。
- 实验结果符合理论预期,验证了组合逻辑电路的基本原理。
3. 时序逻辑电路实验- 通过实验,掌握了时序逻辑电路的分析和设计方法。
- 实验结果符合理论预期,验证了时序逻辑电路的基本原理。
数电实验报告
(1)加法器实现2位乘法电路原理?利用的是2位二进制乘法的展开式来设计电路的,先用与门做二进制的与运算,再把与结果高位对高地址,低位对低地址相加就可以设计出电路。
(2)4位可控加/减法电路控制模块关键是什么?关键模块在于BCD加法器,在利用补码进行累加计算的过程中需要修正电路。
(3)DACo832工作方式有哪些?直通型方式、单缓冲方式和双缓冲方式。
①当I1E为高电平,CS和WRI位高电平时,1E1信号是的8位输入数据锁存器有效,输入的数据存入输入锁存器。
当需要DA转换时,使WR2和XFER位高电平,1E2信号使得8位DA锁存器有效,将数据置入DA锁存器中,并进行DA转换,这是双缓冲工作方式。
②在DAC0832中,使两个锁存器中的一个常处于开通状态,只控制一个锁存器的锁存或者使两个锁存器同时工作,这是单缓冲工作方式。
③使两个锁存器完全处于开通状态,锁存器输出随数字变化而变化,称为直通工作方式。
(5)引入竞争与冒险现象,探究其产生原因。
在电路设计中使用多种逻辑门如:与非门、或非门等,将一个门电路多个输入端信号同时跳变,或者一个信号经由不同的路径传到同一个门的输入端致使信号到达的时间不同,从而在电路输出端产生尖峰脉冲,这种现象称为竞争一一冒险。
(6)测量输出信号失真方法有哪些?失真度是用一个未经放大器放大前的信号与放大后的信号作比较的差别,其单位为百分比,在这里表征一个信号偏离纯正弦信号的程度。
信号处理方法大致可分为两类:模拟法和数字化方法。
模拟法:指测量中直接应用模拟电路对信号处理测量失真度的方法。
基于模拟法的失真度测量仪由于前级电路有源器件的非线形,因此对小信号的测量不够准确。
具体包含基波抑制法和谐波分析法。
数字化方法:是指首先通过数据采集卡将被测信号量化,再对测量数据处理计算出失真度的测量方法。
按照量程分为一般失真度测量0.1%~100%、小失真度测量0.01%~30%和超低失真度测量0.001%-10%,按照自动化的程度可分为半自动失真度测量和自动失真度测量;信号处理方法大致可分为两类:(7)估算或测量【发挥部分】输入到输出的时间?ADC0809转换时间为130μs(时钟为SOOKHz时)。
数电项目实验报告(3篇)
第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。
2. 掌握常用数字电路的分析方法。
3. 培养动手能力和实验技能。
4. 提高对数字电路应用的认识。
二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。
本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。
四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。
(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。
(3)分析输出波形,验证逻辑门电路的正确性。
2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。
(3)分析输出波形,验证触发器电路的正确性。
3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。
(3)分析输出波形,验证计数器电路的正确性。
4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。
(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。
(3)分析输出波形,验证寄存器电路的正确性。
五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。
实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。
2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。
实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。
3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。
实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。
数电实验-实验报告-实验三
数电实验-实验报告-实验三实验三 CMOS 门电路测试及TTL 与CMOS 接⼝设计⼀、实验⽬的·了解CMOS 门电路参数的物理意义。
·掌握CMOS 门电路参数的测试⽅。
·学会CMOS 门电路外特性的测试。
·⽐较CMOS 门与TTL 门的特点及接⼝电路设计。
⼆、实验原理CD4011是CMOS ⼆输⼊端四与⾮门。
以下是它的内部电路原理图和管脚排列图。
1、CMOS 门电路的主要参数(1)CMOS 门电路的逻辑⾼、低电平值,⾼电平V OH 为V DD ,低电平V OL 为0V 。
(2)CMOS 门电路输⼊端有保护电路和输⼊缓冲,所以多余输⼊端不允许悬空。
(3)平均传输延迟时间tpd :t pd =(t OFF +t ON )/2。
2、CMOS 门电路的电压传输特性:CMOS 与⾮门的电压传输特性是描述输出电压Vo随输⼊电压Vi的变化的曲线。
(如右图)。
3、TTL 电路与CMOS 电路接⼝设计:1)接⼝条件:驱动门负载门VOH(min)>=VIH(min)VOL(max)<=VIL(max)IOH(max)>=nIIH(max)IOL(max)<=mIIL(max)2)接⼝电路⽰意图3)接⼝电路设计⽅法:接⼝电路设计应根据实际要求,选择上拉电阻、三极管驱动等⽅法。
三、实验仪器1)⽰波器1台2)多功能电路实验箱1台3)数字万⽤表1台四、实验内容1.测量CD4011逻辑功能:2.平均传输延迟时间的测量三个与⾮门⾸尾相接构成环形振荡器,⽤⽰波器观测输出震荡波形,测出周期T,计算出平均传输延迟时间tpd=T/6.3.⽰波器电压传输特性曲线:⽰波器测量⽅法:输⼊正弦信号Vi (f=200Hz,Vip-p=5V,V IL =0V ),⽰波器置X-Y 扫描。
同时X(CH1)、Y(CH2)置DC 耦合,观测并定量画出与⾮门电压传输特性曲线,⽤⽰波器⽐较法测量V OH ,V OL 。
数电 实验报告
数电实验报告数电实验报告引言:数电实验是电子信息类专业的基础实验之一,通过实践操作,加深学生对数字电路的理解和应用能力。
本文将结合实际实验,对数电实验进行详细的报告。
一、实验目的本次实验的主要目的是通过设计、搭建并测试数字电路,加深对数字电路基本原理的理解,并掌握数字电路的设计和调试方法。
二、实验器材和原理本次实验所需的器材包括数字逻辑实验箱、示波器、函数信号发生器等。
实验原理主要涉及数字逻辑门电路、触发器、计数器等。
三、实验步骤与结果1. 实验一:基本逻辑门电路的设计与测试在实验一中,我们根据所学的逻辑门电路的知识,设计了与门、或门和非门电路,并使用实验箱搭建电路。
通过输入不同的信号,观察输出结果,验证电路的正确性。
实验结果显示,逻辑门电路能够根据输入信号的不同进行逻辑运算,并输出相应的结果。
2. 实验二:触发器的设计与测试在实验二中,我们学习了触发器的基本原理和应用。
通过搭建RS触发器和D触发器电路,并使用函数信号发生器输入时钟信号和触发信号,观察触发器的输出。
实验结果表明,触发器能够根据输入的时钟信号和触发信号,在特定条件下改变输出状态。
3. 实验三:计数器的设计与测试在实验三中,我们学习了计数器的基本原理和应用。
通过搭建二进制计数器电路,使用示波器观察计数器的输出波形,并验证计数器的功能。
实验结果显示,计数器能够根据输入的时钟信号,按照一定规律进行计数,并输出相应的结果。
四、实验总结与心得体会通过本次数电实验,我深刻理解了数字电路的基本原理和设计方法。
在实验过程中,我不仅学会了使用实验器材进行电路搭建和测试,还掌握了数字电路的调试技巧。
通过不断的实践操作,我对数字电路的理论知识有了更加深入的理解。
在今后的学习和工作中,我将继续加强对数字电路的学习和应用,不断提高自己的实践能力。
同时,我也明白了实验中的每一个细节都非常重要,只有严格按照实验步骤进行操作,才能保证实验结果的准确性和可靠性。
总之,本次数电实验是我在数字电路领域的一次重要实践,通过实验的过程,我不仅巩固了理论知识,还培养了自己的动手操作和问题解决能力。
数电综合实验报告(3篇)
第1篇一、实验目的1. 巩固和加深对数字电路基本原理和电路分析方法的理解。
2. 掌握数字电路仿真工具的使用,提高设计能力和问题解决能力。
3. 通过综合实验,培养团队合作精神和实践操作能力。
二、实验内容本次实验主要分为以下几个部分:1. 组合逻辑电路设计:设计一个4位二进制加法器,并使用仿真软件进行验证。
2. 时序逻辑电路设计:设计一个4位计数器,并使用仿真软件进行验证。
3. 数字电路综合应用:设计一个数字时钟,包括秒、分、时显示,并使用仿真软件进行验证。
三、实验步骤1. 组合逻辑电路设计:(1)根据题目要求,设计一个4位二进制加法器。
(2)使用Verilog HDL语言编写代码,实现4位二进制加法器。
(3)使用ModelSim软件对加法器进行仿真,验证其功能。
2. 时序逻辑电路设计:(1)根据题目要求,设计一个4位计数器。
(2)使用Verilog HDL语言编写代码,实现4位计数器。
(3)使用ModelSim软件对计数器进行仿真,验证其功能。
3. 数字电路综合应用:(1)根据题目要求,设计一个数字时钟,包括秒、分、时显示。
(2)使用Verilog HDL语言编写代码,实现数字时钟功能。
(3)使用ModelSim软件对数字时钟进行仿真,验证其功能。
四、实验结果与分析1. 组合逻辑电路设计:通过仿真验证,所设计的4位二进制加法器能够正确实现4位二进制加法运算。
2. 时序逻辑电路设计:通过仿真验证,所设计的4位计数器能够正确实现4位计数功能。
3. 数字电路综合应用:通过仿真验证,所设计的数字时钟能够正确实现秒、分、时显示功能。
五、实验心得1. 通过本次实验,加深了对数字电路基本原理和电路分析方法的理解。
2. 掌握了数字电路仿真工具的使用,提高了设计能力和问题解决能力。
3. 培养了团队合作精神和实践操作能力。
六、实验改进建议1. 在设计组合逻辑电路时,可以考虑使用更优的电路结构,以降低功耗。
2. 在设计时序逻辑电路时,可以尝试使用不同的时序电路结构,以实现更复杂的逻辑功能。
数电实验报告—触发器及其应用
实验三触发器及其应用一、实验目的1、熟悉基本RS触发器、D触发器的功能测试。
2、了解触发器的触发方式及出发特点。
3、熟悉触发器的实际应用。
二、实验设备数字电路实验箱、数字双踪示波器、74LS00、74LS74。
三、实验原理触发器是一个具有记忆功能的二进制信息存储器件,是构成时序电路的最基本逻辑单元。
也是数字逻辑电路中一种重要的单元电路。
触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。
按其功能可分为RS触发器、JK触发器、D触发器、T和T'触发器。
触发方式有电平触发和边沿触发两种。
1、基本RS触发器是最基本的触发器。
如图所示由二个与非门交叉耦合构成。
具有置“0”、置“1”和“保持”三种功能。
2、D触发器在时钟脉冲CP的前沿(正跳变0 1)发生翻转,具有置0、置1两种功能。
D触发器应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生器等。
四、实验内容1、设计水泵开关要求水位上到B水泵关闭,水位下降到A水泵开启。
(74LS00)设A(B)为0表示水位低于A(B),A(B)为1时水位高于A (B)。
据此可列出真值表:A B RD SD Q0 0 1 0 11 0 1 1 保持1 1 0 1 0RD = B SD = A实现该逻辑功能的电路图如下:2、设计智力竞赛中二人抢答装置,要求先抢答者按下开关同时封锁后抢答者的开关控制,最后由主持人清除灯光显示。
利用74LS00和74LS74实现该设计:3、实现二分频电路二分频波形:。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电路实验报告实验一组合逻辑电路分析一.试验用集成电路引脚图74LS00集成电路74LS20集成电路四2输入与非门双4输入与非门二.实验容1.实验一X12.5 VABCDU1A74LS00NU2AU3A74LS00N逻辑指示灯:灯亮表示“1”,灯灭表示“0”ABCD按逻辑开关,“1”表示高电平,“0”表示低电平A B C D Y A B C D Y0 0 0 0 0 1 0 0 0 00 0 0 1 0 1 0 0 1 00 0 1 0 0 1 0 1 0 00 0 1 1 1 1 0 1 1 10 1 0 0 0 1 1 0 0 10 1 0 1 0 1 1 0 1 10 1 1 0 0 1 1 1 0 10 1 1 1 1 1 1 1 1 12.实验二密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。
否则,报警信号为“1”,则接通警铃。
试分析密码锁的密码ABCD是什么?ABCDABCD接逻辑电平开关。
最简表达式为:X1=AB’C’D 密码为:1001三.实验体会:1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。
2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。
实验二组合逻辑实验(一)半加器和全加器一.实验目的1.熟悉用门电路设计组合电路的原理和方法步骤二.预习容1.复习用门电路设计组合逻辑电路的原理和方法步骤。
2.复习二进制数的运算。
3.用“与非门”设计半加器的逻辑图。
4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。
5.完成用“异或”门设计的3变量判奇电路的原理图。
三.元件参考依次为74LS283、74LS00、74LS51、74LS136其中74LS51:Y=(AB+CD)’,74LS136:Y=A⊕B(OC门)四.实验容1.用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟)U1NOR2NOR2U3NOR2U4NOR2U5NOR2SC半加器2.用异或门设计3变量判奇电路,要求变量中1的个数为奇数是,输出为1,否则为0.3.“74LS283”全加器逻辑功能测试新进位C40 0 1 1 五.实验体会:1.通过这次实验,掌握了熟悉半加器与全加器的逻辑功能2.这次实验的逻辑电路图比较复杂,涉及了异或门、与或非门、与非门三种逻辑门,在接线时应注意不要接错。
各芯片的电源和接地不能忘记接。
实验三组合逻辑实验(二)数据选择器和译码器的应用一.实验目的熟悉数据选择器和数据分配器的逻辑功能和掌握其使用方法二.预习容1.了解所有元器件的逻辑功能和管脚排列2.复习有关数据选择器和译码器的容3.用八选一数据选择器产生逻辑函数L=ABC+ABC’+A’BC+A’B’C和L=A⊕B⊕C4.用3线—8线译码器和与非门构成一个全加器三.参考元件数据选择器74LS151,3—8线译码器74LS138.四.实验容1.数据选择器的使用:当使能端EN=0时,Y是A2,A1,A0和输入数据D0~D7的与或函数,其表达式为:Y=(表达式1)式中m i 是A 2,A 1,A 0构成的最小项,显然当D i =1时,其对应的最小项m i 在与或表达式中出现。
当D i =0时,对应的最小项就不出现。
利用这一点,不难实现组合电路。
将数据选择器的地址信号A 2,A 1,A 0作为函数的输入变量,数据输入D 0~D 7作为控制信号,控制各最小项在输出逻辑函数中是否出现,是能端EN 始终保持低电平,这样,八选一数据选择器就成为一个三变量的函数产生器。
①用八选一数据选择器74LS151产生逻辑函数将上式写成如下形式:L=m 1D 1+m 3D 3+m 6D 6+m 7D 7该式符合表达式1的标准形式,显然D 1、D 3、D 6、D 7都应该等于1,二式中没有出现的最小项m 0、m 2、m 4、m 5,它们的控制信号D 0、D 2、D 4、D 5都应该等于0。
由此可画出该逻辑函数产生器的逻辑图。
L=ABC+ABC’+A’BC+A’B’C②用八选一数据选择器74LS151产生逻辑函数根据上述原理自行设计逻辑图,并验证实际结果。
U174LS151D~W6D04D13D22D31D415D514D613D712A 11C 9B 10Y 5~G7VCC5VJ1Key = AJ2Key = BJ3Key = C2.3线—8线译码器的应用用3线—8线译码器74LS138和与非门构成一个全加器。
写出逻辑表达式并设计电路图,验证实际结果。
U174LS138DY015Y114Y213Y312Y411Y510Y69Y77A 1B 2C 3G16~G2A 4~G2B5U2A74LS20D U2B74LS20DJ1Key = AJ2Key = BJ3Key = C5VX1X23.扩展容用一片74LS151构成4变量判奇电路五、实验体会1.数据选择器用来对数据进行选择,特别选择适用于函数的分离,是比较常用的组合逻辑器件;译码器用于数据的编码与译码中,也是较常用的逻辑器件。
2.集成的组合逻辑电路也是有简单的门电路组合而成,可以根据对逻辑电路的连接,集成的逻辑器件之间可以相互转化,功能也进行了扩展了。
实验四:触发器和计数器一、实验目的1、熟悉J-K触发器的基本逻辑功能和原理。
2、了解二进制计数器工作原理。
3、设计并验证十进制,六进制计数器。
二、预习容1、复习有关R-S触发器,J-K触发器,D触发器的容。
触发器是构成时序逻辑电路的基本逻辑单元,具有记忆、存储二进制信息的功能。
从功能上看,触发器可分为RS、D、JK、T、T’等几种类型。
上述几种触发器虽然功能不同,但相互之间可以转换。
边沿触发器是指,只有在时钟脉冲信号CP的上升沿或者是下降沿到来时,接收此刻的输入信号,进行状态转换,而在其它任何时候输入信号的变化都不会影响到电路的状态。
2、预习有关计数器的工作原理。
统计输入脉冲个数的过程计数。
能够完成计数工作的电路成为计数器。
计数器的基本功能是统计时钟脉冲的个数,即实现计数操作,也用于分频、定时、产生节拍脉冲等。
计数器的种类很多,根据计数脉冲引入方式的不同,将计数器分为同步计数器和异步计数器;根据计数过程中计数变化趋势,将计数器分为加法计数器、减法计数器、可逆计数器;根据计数器中计数长度的不同,可以将计数器分为二进制计数器和非二进制计数器(例如十进制、N进制)。
二进制计数器是构成其他各种计数器的基础。
按照计数器中计数值的编码方式,用n表示二进制代码,N表示状态位,满足N=2“的计数器称作二进制计数器。
74LS161D 是常见的二进制加法同步计数器3、用触发器组成三进制计数器。
设计电路图。
4、用74 LS 163和与非门组成四位二进制计数器,十进制计数器,六进制计数器。
设计电路图。
三、参考元件74LS00 74LS10774LS74 74LS163四、实验容1.R-S触发器逻辑功能测试U1A74LS00DU1B74LS00DVCC5VJ1Key = RJ2Key = SX12.5 VX22.5 VR S Q 触发器电位0 1 0 1 01 0 1 0 11 1 0 0 不确定0 0 不变 不变 保持2.74LS163的逻辑功能测试U174LS163DQA 14QB 13QC 12QD 11RCO15A 3B 4C 5D 6ENP 7ENT 10~LOAD 2~CLR 1CLK9V1100 Hz 5 VU2A74LS00D5VGNDX1 2.5 V X22.5 VX3 2.5 V X4 2.5 V74LS163的逻辑功能表如下输入输出CT P CT T CP D 0 D 1 D 2 D 3 Q 0 Q 1Q 2 Q 3 0 X X X X X X X 0 0 0 0 1 0 X X d 0 d 1 d 2 d 3 d 0d 1 d 2d 31 1 1 1 X X X X 计数 1 1 0 X X X X X 保持 1 1 XXXXX保持 3.用74LS163组成六进制计数器U1A74LS00DU274LS163DQA 14QB 13QC 12QD 11RCO15A 3B 4C 5D 6ENP 7ENT 10~LOAD 2~CLR 1CLK9VCC5VDCD_HEXV150 Hz 5 V输出QA QB QC QD 从0000逐渐增1直至0101,此时QA=1,QC=1,经过与非门后为低电平,输入至CLR同步清零,又开始了下一轮的计数。
故计数围为0000——0101,为六进制计数器。
4.用74LS163组成十进制计数器DCD_HEX 输出QA QB QC QD 从0000逐渐增1直至1001,此时QA=1,QD=1,经过与非门后为低电平,输入至CLR同步清零,又开始了下一轮的计数。
故计数围为0000——1001,为十进制计数器。
1、用74LS163组成六十进制计数器五、实验体会:这次试验熟悉了计数器、译码器、显示器等器件的使用方法,学会用它们组成具有计数、译码、显示等综合电路,并了解它们的工作原理。
利用常用计数器通过设计可以实现非常用进制计数器,一般有同步和异步两种不同的方案,同时也可以采用清零和预置数来达到归零的目的。
实验五555集成定时器一.实验目的熟悉与使用555集成定时器二.实验容1.555单稳电路1)按图连接,组成一个单稳触发器2)测量输出端,控制端的电位与理论计算值比较3)用示波器观察输出波形以及输出电压的脉宽。
tw=RCln3=1.1RC2.555多谐振荡器1)按图接线,组成一个多谐振荡器输出矩形波的频率为:f=1.43/(R1+2R2)2)用示波器观察波形通过示波器观察到输出波形为脉冲波3.接触开关按图接线,构成一个接触开关,摸一下触摸线,LED亮一秒三.实验体会本次实验是关于555集成定时器以及它构建的触发器和振荡器。
555定时器在逻辑电路中用得非常广泛,可以由它产生各种各样的脉冲波形,一般作为信号源来使用。
实验六数字秒表一.实验目的:1、了解数字计时装置的基本工作原理和简单设计方法。
2、熟悉中规模集成器件和半导体显示器的使用。
3、了解简单数字装置的调试方法,验证所设计的数字秒表的功能。
二.实验元件:集成元件:555一片,74LS163一片,74LS248两片,LED两片,74LS00两片。
二极管IN4148一个,电位器100K一个,电阻,电容。
三.实验容:1、实验原理框图①秒信号发生器用555定时器构建多谐振荡电路而成②六十进制计数器用两块74LS163组成③译码电路由74LS148组成④数码显示由LED组成。
2、设计容及要求①用上述元器件设计一个数字秒表电路,电路包含秒脉冲发生器、计数、译码,显示00至59秒。