汽车尾灯显示控制电路设计

合集下载

基于Multisim的汽车尾灯控制设计精选全文

基于Multisim的汽车尾灯控制设计精选全文

可编辑修改精选全文完整版一、设计任务汽车尾灯控制电路的设计,要求实现汽车左转弯、右转弯、停止等条件下尾灯的点亮与熄灭情况。

二、设计条件本课题设计基于强大的数字电路板仿真软件Multisim,该软件具有电脑模拟各种电路功能,其运用各种仿真器件可达到现实器件同样的功能效果。

三、设计要求假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟)1、汽车正常运行时指示灯全灭;2、左转弯时左侧3个指示灯按左循环顺序点亮;3、右转弯时右侧3个指示灯按右循环顺序点亮;4、临时刹车时,所有指示灯同时闪烁。

四、设计内容设计内容包括运用主从JK触发器构成一个3进制计数器,为汽车尾灯按左(右)循环闪烁控制电路的设计提供脉冲;并使用74LS138D3线-8线译码器来控制指示灯的亮灭情况。

设计一个开关控制电路,来控制尾灯的闪烁,并决定74LS138D译码器的工作情况。

1.设计思想:开关控制电路译码电路驱动电路A B三进制计数电路图1 汽车尾灯控制框图图一为汽车尾灯控制电路方框图,其中比较复杂的是三进制计数电路和译码电路。

开关控制电路由2个开关控制,通过控制对译码电路提供的信号来控制驱动电路;三进制计数器电路由2个主从JK触发器构成,通过主从JK触发器的特性构成时序逻辑电路来实现三进制计数;译码电路采用74LS138D译码器,使用3线8线译码器可以控制8个端口的输出,而本实验只需要使用6个端口,其余两个端口闲置。

通过三进制计数器和开关控制电路来控制译码器6个端口的逻辑状态;驱动电路采用常用的LED管,采用共阳极形式,LED管的正极接+5V电压,负极通过驱动电路来控制LED的亮灭情况。

2.电路结构与原理图(1)开关控制电路:如图2图2 开关控制电路开关两端一端接高电平,一端接地(低电平)。

74LS86D与74LS138的输入控制端连接,当开关同时闭合或断开的时候,输入相同,74LS86输出为“0”,则74LS138不译码。

若两开关同时断开,则74LS04D的输出为“1”;而74LS10D接有CP脉冲,所以此时74LS00D 的输出完全决定于CP脉冲;当两开关同时闭合,74LS00D输出为“1”;B开关打开;B开关闭合,A开关打开时的分析也按照上面的方法来分析实现。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计
汽车尾灯控制电路是汽车电子系统中非常重要的一部分,它控制装配在汽车后尾部的
尾灯的工作状态,以确保安全行驶。

下面,我们对其电路逻辑做了详细介绍。

汽车尾灯控制电路主要采用了定时器与光敏电阻来检测汽车的尾灯是否正常工作,从
而确保汽车的安全性。

首先,电路的输入部分包括一个定时器,它用来控制该电路的功能。

此外,一个光敏电阻被用来检测夜间汽车是否开启尾灯,以便检测汽车的安全行驶。

此外,还配备有一个按钮开关,用来控制尾灯是否打开。

电路的输出部分主要包括定时器,它负责检测汽车夜间走行时,尾灯是否开启。

当汽
车夜间行驶时,该定时器将开始计时,一旦计时到达指定时间,它将使汽车尾灯开启。

另外,光敏电阻将检测出汽车是否已经熄灭尾灯,一旦检测到尾灯已熄灭,定时器将停止计时,尾灯也将被关闭。

此外,按钮开关也可以控制汽车的尾灯的工作状态。

当汽车在夜间行驶时,拥有尾灯
的按钮可用于手动控制尾灯的工作状态。

总而言之,汽车尾灯控制电路是以定时器,光敏电阻及按钮开关为核心的控制系统。

它可以有效地帮助汽车保持夜间行驶的安全,以期在黑夜行驶的路上,可以有效的显示汽
车和其他车辆的位置,有效保护行人和其他车辆的安全。

汽车尾灯显示控制电路设计

汽车尾灯显示控制电路设计

摘要本课程设计设计一个汽车尾灯的控制电路。

汽车尾部左右两侧各有3个指示灯。

当接通左转、右转或刹车、制动时,指示灯按照指定要求闪烁。

我先给出了设计原理的分析过程,得到系统框图,在对各部分电路原理进行简要的说明。

经过对各功能的要求的分析,最终确定了汽车尾灯显示控制电路的设计方案。

在本设计中我使用J-K触发器74LS112作控制,用74LS149双向移位寄存器来控制汽车尾灯的点亮与熄灭。

然后对各部分功能电路用Proteus仿真,得到与理论完全相同的结果。

本课程设计采用一种简便的汽车尾灯控制电路的设计方法,主要解决如何灵活的对汽车行驶状态通过尾灯来显示,如左转、右转、停车等。

综合利用理论知识并与实践相结合,实现整个电路的设计。

AbstractThis course design of a car taillights control circuit. The rear of the car is about three indicator. When connected to turn right or left, brake, brake, according to specified requirements flashing lights. My first presents the design principle of the process of analysis, the system frame, in part of the circuit principle were briefly. After the analysis of the requirements, the final automobile tail lights display control circuit design. In this design, I use 74LS112 flip-flop K J - as with 74LS149 two-way control, to control the car taillights shift register with the light. And part of the functional circuits using simulation and theory, Proteus exactly the same results.This course design USES a simple car taillights control circuit design methods, how to solve the main vehicle for flexible state, such as by taillights to turn right, turn left, parking, etc. Comprehensive utilization of theoretical knowledge and combining with practice, the realization of the whole circuit design.1设计任务及要求1.1设计任务(1)设计构成一个控制汽车六个尾灯的电路,用六个指示灯模拟六个尾灯(汽车尾部左右每侧三个灯),并用两个拨动式(乒乓)开关作为转弯信号源;一个兵乓开关用于指示右转弯,一个乒乓开关用于指示左转弯,如果两个乒乓开关都被接通,说明驾驶员是一个外行,紧急闪烁器起作用。

汽车尾灯控制电路

汽车尾灯控制电路

汽车尾灯控制电路
设计思路
利用74161构成七进制计数器,经过74138译码器,对应七种状态 利用74160构成三进制,实现3个指示灯的循环点亮, 经非门接三进制 74下
汽车尾灯控制电路
元器件解释
74LS160 74LS160是一种十进制同步计数器。在 Multisim中,它可以在每个时钟脉冲 上进行计数。当时钟信号上升沿触发 时,计数器会自动加1。该计数器可以 在四个并行输入(J0、J1、J2和J3)上 接收输入信号,并输出四个二进制计 数结果(Q0、Q1、Q2和Q3)
汽车尾灯控制电路
74LS138 74LS138是一种3-8译码器, 用于将一个三位二进制地址 信号转换为8个选通输出信 号。它可以将一个3位二进 制地址信号(A0,A1和A2)转 换为8个选通信号(Y0至Y7)
汽车尾灯控制电路
DCD_HEX 在Multisim中,DCD_HEX是七段数码管的一种, 七段数码管是一种数字显示设备,用于显示0到9 以及其他一些特殊字符。通过将七段数码管与适 当的电路连接,并在数字输入端口上提供适当的 信号,可以将数字值显示在七段数码管上
汽车尾灯控制电路
(3)汽车左转弯行驶时,左侧3个指示灯按左循环顺序点亮,右侧的指示灯全灭 (4)汽车临时刹车时,所有指示灯同时处于闪烁状态 (5)右转弯刹车时,右侧的三个尾部灯顺序循环点亮,左侧的灯全亮 (6)左转弯刹车时,左侧的三个尾部灯顺序循环点亮,右侧的灯全亮 (7)倒车时,尾部两侧的6个指示灯随CP时钟脉冲同步闪烁 同时用七段数码管显示汽车的七种工作状态,即正常行驶、刹车、右转弯、左 转弯、右转弯刹车、左转弯刹车和倒车等功能
汽车尾灯控制电路
运行结果
1.正常行驶
汽车尾灯控制电路

汽车尾灯控制电路设计

汽车尾灯控制电路设计
汽车 现如今 已成为人 们的 代步工具 , 各给 定 条件 ( S 1 、 S O 、 C P 、 Q1 、 Q o ) 的关 系 即逻 随 着 电子 技 术 的 快 速 发 展 , 对 汽 车 的 控 制 辑 功 能 表 如 表 l : D6 - D1 中O 表灯 灭, 1 表 灯 从 以 前 的 全 人 工开 关 控 制 发 展 到 了智 能 化 亮 。 汽 车 尾 灯 显 示 驱 动 电路 由 6 个 发 光 二 控制, 人 们 对 汽 车 的 安 全 行驶 要 求 很 高 , 汽 个反相器构成 , 译 码 电 路 由3 — 8 线 车尾灯 系统给我们带 来了方便 。 所 以 对 具 极 管 和 6 有 安 全 提 示 作 用 的 汽车 尾灯 的设 计 是 非 常 译 码 器7 4 L S 1 3 8 和6 个与 非 门构 成 。 7 4 L S l 3 8 的三个输 入端 A2 、 A1 、 A 0 分别接 S 1 、 Q1 、 Q o , 必要的 。 而Q l Q0 是 三进 制 计 数 器 的输 出端 。 当 S 1 = O 、 使 能 信 号A= G=1 , 计 数 器 的状 态 为 1 技术指标 0 、 0 1 、 1 o N, 7 4 L S 1 3 8 7 4应得 输 出端 依 次 为 正常 工 作时 , 指 示灯 全 灭 。 左 右 转 弯 0 有效 , 故 指 示 灯 D1 、 D2 、 D3 按 顺 序 点 亮示 时, 指 示灯循环点亮 ; 刹车时 , 指 示 灯 同时 0 若上述条件不变 , 而S l =1 , 闪烁。 本 设 计 由 发 光 二 极 管 代 替 尾 灯 的左 意 汽 车 右转 弯 , 右 各 三 个 灯 泡进 行 显 示 。 这 样 显 示 更 直 接 则 7 4 L S 1 3 8 Y 4应 的输 出 依次 为0 有效 , 故 指 示 灯D 4 、 D 5 、 D6 按顺序点亮, 示 意汽 车 左 转 更 明显 。 弯, 当G =0 , A=1 时7 4 L S 1 3 8 的输 出端全 为A= C P 时, 指 示 灯 随 本 电 路 由时 钟 产 生 电 路 、 左 右 转 控 制 c P 的 频 率 闪烁 ( 表2 ) 。 电路、 刹 车 控 制 电路 组 成 , 其 中控 制 开 关 只 3 . 1时钟产 生 电路 有 两个 , 控 制 电路 由两J K触发 器构 成 , 结 构 时钟产生 电路 由5 5 5 定 时 器 构 成 器 构 简单 。 脉 冲输 入 信 号 由5 5 5 定 时器产生 , 产 成 的 多 谐 振 荡 器 5 5 5 定 时 器 内部 的 比 较 器 输 出驱动 电流大 , 功能灵活 , 而 生 频率 l Hz 左 右 的脉 冲 信 号 。 该 脉 冲 信号 作 灵敏 度 高 , 为 刹 车 时 的输 入信 号 , 控 制 尾 灯 的 闪烁 ; 左 且 采 用 差 分 电路 形 式 , 它 的 振 荡 频 率 受 电 转 右 转 控 制 电路 控 制 汽车 尾灯 按 照左 循 环 源 电压 和 温 度 的 影 响 很 小 , 荡频率稳定 , 不 或右循 环的顺序 依次点亮 ; 刹车 控 制 电 路 易 受 干 扰 。 它 的 输 出信 号 频 率 为 f =1 . 4 3 / 控 制 尾 灯 的 闪烁 。 ( RA + RB ) C , R1 = 3 3 K, R2 =1 0 0 K, R3 = 3 3 K

汽车尾灯控制电路课程设计报告

汽车尾灯控制电路课程设计报告

汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

1.2设计要求1、汽车正常运行时尾灯全部熄灭。

2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。

3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。

4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。

设计要求具体见表1-1。

表1-1 汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

首先,设置两个可控的开关,可产生00、01、10、11四种状态。

开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。

三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。

原理图如2-1所示:图 2-1 原理框图第3章电路设计3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。

有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。

74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。

数电汽车尾灯控制电路实验报告

数电汽车尾灯控制电路实验报告

数字电路汽车尾灯控制电路实验报告1. 引言1.1 实验背景汽车尾灯是汽车中重要的安全设备之一,它在夜间或恶劣天气条件下提供后方车辆提示作用,确保行车安全。

掌握数字电路汽车尾灯控制电路的原理和实验方法对于电子工程专业的学生来说至关重要。

1.2 实验目的本实验的目的是通过设计和实现数字电路汽车尾灯控制电路,加深对数电原理的理解,并训练学生的创新思维和动手能力。

2. 设计方案2.1 设计思路本实验中,我们将使用数字逻辑门和时序控制电路来实现汽车尾灯的功能。

通过在适当的时刻点控制LED的亮灭状态,可以实现不同的尾灯显示模式,如刹车灯、示宽灯等。

2.2 实验材料和器件•Arduino开发板•逻辑门集成电路(如74LS08、74LS32等)•LED发光二极管•连接线等2.3 实验步骤1.按照电路图连接电路,将Arduino开发板与逻辑门集成电路相连。

2.根据实验要求,在Arduino开发板上编写程序,通过逻辑门控制LED的亮灭状态。

3.将LED与逻辑门集成电路连接,实现汽车尾灯的显示效果。

4.调试和验证电路的功能,确保尾灯控制电路正常工作。

3. 实验结果与分析3.1 实验过程我们按照上述设计方案进行实验,并在Arduino开发板上编写了相应的程序。

经过调试和验证,我们成功实现了数电汽车尾灯控制电路的功能。

3.2 实验结果我们实现了以下几种尾灯显示模式: 1. 刹车灯:当车辆刹车时,尾灯会快速闪烁。

2. 示宽灯:当车辆转向时,尾灯会交替闪烁。

3. 倒车灯:当车辆倒车时,尾灯会亮起。

4. 位置灯:车辆启动后,尾灯会持续亮起。

3.3 结果分析通过以上实验结果可以看出,我们成功实现了数电汽车尾灯控制电路的功能。

该电路能够根据车辆行驶状态控制尾灯的亮灭状态,达到提醒后方车辆的目的。

4. 实验总结与展望4.1 实验总结通过本实验,我们对数字电路汽车尾灯控制电路有了更深入的了解,掌握了设计和实现该电路的方法和技巧。

同时,我们还培养了动手能力和创新思维,提高了对数字电路原理的理解。

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。

二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。

2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。

3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。

(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。

(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。

(4)临时刹车时,所有指示灯同时闪烁。

三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。

1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。

(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。

2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。

3-8译码器是低电平有效,从而控制尾灯按要求点亮。

3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。

2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。

选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。

3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。

将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。

发光二极管将让的的点亮和熄灭。

这部分电路需要确保足够的电流能够流过LED,以使其正常发光。

汽车尾灯控制电路实验报告

汽车尾灯控制电路实验报告

1.设计要求假设汽车尾部左右两侧各有3个指示灯,可用实验箱上的电平指示二极管模拟。

(1)汽车正常运行时,指示灯全灭;(2)右转弯时,右侧三个指示灯按右循环顺序点亮;(3)左转弯时左侧三个指示灯按左循环顺序点亮;(4)临时刹车时所有指示灯同时闪烁。

2.设计过程(1)列出尾灯与汽车运行状态表,如表1-1所示表1-1 尾灯与汽车运行状态表(2)设计总体框图由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各个指示灯与各给定条件(S1,S0,CP,Q1,Q0)的关系,即逻辑功能表如表1-2所示(表中0表示灯灭状态,1表示灯亮状态),由表1-2可得出总体框图,如图1-1所示。

图1-1 汽车尾灯控制电路总框图表1-2 控制电路的逻辑功能表(3)设计单元电路三进制计数器电路。

由双J-K触发器74LS76构成,可根据表1-2进行设计。

汽车尾灯控制电路。

其显示驱动电路由6个发光二极管和6个反相器构成。

译码电路由3-8译码器74LS138和6个与非门构成。

74LS138的三个输入端A2,A1,A0分别接S1,Q1,Q0,而Q1Q0是三进制计数器的输出端。

当S1=0时,使能信号A=G=1,计数器的状态位00,01,10时,74LS138对应的输出端Y0’,Y1’,Y2’依次为0有效(Y3’,Y4’,Y5’信号为1无效),即反相器GATE1-GATE3的输出端也依次为0,故指示灯D1-D2-D3按顺序点亮示意汽车右转弯。

若上述条件不变,而S1=1,则74LS138对应的输出端Y4’,Y5’,Y6’依次为0有效,即反相器GATE4-GATE6的输出端依次为0,故指示灯D4-D5-D6按顺序点亮,示意汽车左转弯。

当G=0,A=1时,74LS138的输出端全为1,GATE6-GATE1的输出端也全为1,指示灯全灭;当G=0.A=CP时,指示灯随CP的频率闪烁。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。

二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。

三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。

汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。

四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。

五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。

本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。

电子技术课程设计汽车尾灯控制电路

电子技术课程设计汽车尾灯控制电路

电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。

(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。

当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。

当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。

总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。

多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。

当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。

左右边控制模块是控制它的输出,使它们的输出依次进行。

灯是起到亮灭的作用。

三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。

RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。

数电课程设计_汽车尾灯的控制

数电课程设计_汽车尾灯的控制

课程设计任务书学生姓名: *** 专业班级: 电信1405班指导教师: *** 工作单位: 信息工程学院题目: 汽车尾灯控制器的电路设计仿真与制作初始条件:利用中、小规模集成电路芯片7400、7404、74138、7476、7486 和其它器件实现对汽车尾灯显示的控制功能。

电路组成框图如图1 所示。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1 周内完成汽车尾灯控制器电路的设计、仿真、装配与调试。

2、技术要求:设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。

②当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。

③当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。

④临时刹车时,所有指示灯同时闪烁。

⑤选择电路方案,完成对确定方案电路的设计。

计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。

制作实际运行装置。

3、查阅至少5 篇近5 年参考文献。

按《武汉理工大学课程设计工作规范》要求撰写设计报告书。

全文用A4 纸打印,图纸应符合绘图规范。

时间安排:1)第1-2 天,查阅相关资料,学习设计原理。

2)第3-4 天,方案选择和电路设计仿真。

3)第4-5 天,电路调试和设计说明书撰写。

4)第6 天,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (4)1.总体设计 (5)1.1主要工作安排 (5)1.2设计任务与设计要求 (5)1.2.1设计任务 (5)1.2.2设计要求 (5)1.3方案论述与确定 (5)2.主要芯片介绍 (6)2.1 555定时器 (6)2.2 与门74LS08 (8)2.3 异或门74LS86 (9)2.4 二—五进制计数器 (12)2.5 3线-8线译码器74LS138 (12)2.6 四位二进制可预置的同步加法计数器74LS163 (14)3 电路设计 (15)3.1 555时钟脉冲电路模块 (15)3.2 三进制循环控制电路模块 (17)3.3 译码显示电路模块 (18)3.4 总体电路 (18)4.仿真 (19)4.1 仿真软件multisim介绍 (19)4.2 电路仿真 (20)5. 装配与调试 (25)5.1 装配与调试 (25)5.2 实物功能测试 (25)6.总结 (26)摘要本次设计的汽车尾灯控制电路是用数字电路实现的。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计【摘要】:本次设计的题目是汽车尾灯控制电路,汽车尾灯控制电路使得汽车的行驶更加有秩序,更加方便操作。

设计汽车尾灯,左右两侧各有3个指示灯(用发光二极管模拟)由外部开关电路控制汽车尾灯;汽车正常运行时指示灯全灭;右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时,左侧三个指示灯按左循环顺序点亮;临时刹车时左右指示灯同时闪烁。

【关键字】尾灯、定时器、触发器汽车现如今已成为人们的代步工具,随着电子技术的快速发展,对汽车的控制从以前的全人工开关控制发展到了智能化控制,人们对汽车的安全行驶要求很高,汽车尾灯系统给我们带来了方便。

所以对具有安全提示作用的汽车尾灯的设计是非常必要的。

一、技术指标正常工作时,指示灯全灭;左右转弯时,指示灯循环点亮;刹车时,指示灯同时闪烁。

本设计由发光二极管代替尾灯的左右各三个灯泡进行显示。

这样显示更直接更明显。

二、总体方案本电路由时钟产生电路、左右转控制电路、刹车控制电路组成,其中控制开关只有两个,控制电路由两JK触发器构成,结构简单。

脉冲输入信号由555定时器产生,产生频率1Hz左右的脉冲信号。

该脉冲信号作为刹车时的输入信号,控制尾灯的闪烁;左转右转控制电路控制汽车尾灯按照左循环或右循环的顺序依次点亮;刹车控制电路控制尾灯的闪烁。

三、单元电路设计由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种状态下,各指示灯与各给定条件(S1、S0、CP、Q1、Q0)的关系即逻辑功能表如下:(D6-D1中0表灯灭,1表灯亮)汽车尾灯显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8线译码器74LS138和6个与非门构成。

74LS138的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。

当S1=0、使能信号A=G=1,计数器的状态为00、01、10时,74LS138对应得输出端依次为0有效,故指示灯D1、D2、D3按顺序点亮示意汽车右转弯,若上述条件不变,而S1=1,则74LS138对应的输出依次为0有效,故指示灯D4、D5、D6按顺序点亮,示意汽车左转弯,当G=0,A=1时74LS138的输出端全为1 ,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。

二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。

由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。

本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。

三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。

四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。

汽车尾灯控制电路设计毕业论文(经典)

汽车尾灯控制电路设计毕业论文(经典)

汽车尾灯控制电路设计毕业论文(经典) 【摘要】汽车尾灯是车辆行驶过程中必不可少的安全装置。

常见的汽车尾灯控制方式有手动和自动两种,其中后者可以根据车速自动控制尾灯的亮度和闪烁频率。

本文设计了一种基于Arduino控制器的汽车尾灯控制电路,并通过实验验证了其功能和性能。

【关键词】汽车尾灯;Arduino;控制电路;自动控制;实验验证【Abstract】The taillights of automobiles are essential safety devices during driving. There are two common control methods for automobile taillights: manual and automatic. The latter can automatically control the brightness and flash frequency of the taillights according to the vehicle speed. This paper designs a car taillight control circuit based on the Arduino controller and verifies its function and performance through experiments.【Keywords】car taillight; Arduino; control circuit; automatic control; experimental verification一、引言汽车尾灯是车辆行驶过程中必不可少的安全装置之一,其主要作用是在夜间或恶劣天气条件下使后方车辆和行人能够清晰地看到车辆的行驶方向和位置,以避免发生交通事故。

在尾灯控制方式上,传统的手动控制以及可以根据车速自动控制的自动控制方式得到了广泛应用。

《课程设计-汽车尾灯控制器的电路设计》精选全文

《课程设计-汽车尾灯控制器的电路设计》精选全文

可编辑修改精选全文完整版一、概述1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。

2.设计要求:在汽.车尾部左右两侧各有3个指示灯〔假定用发光二极管模拟〕,根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。

②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。

二、方案设计为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。

表1 汽车尾灯和汽车运行状态在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。

设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示〔表中指示灯的状态“1”表示点亮,“0”表示熄灭〕。

表2 汽车尾灯控制器功能表根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。

整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等局部组成图1 汽车尾灯控制电路的原理框图三、电路设计脉冲电路的设计方案一:石英晶体振荡器此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。

所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。

此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。

方案二:由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。

555定时器的管脚图如图2所示。

由于555定时器内部的比拟器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

汽车尾灯控制电路的设计

汽车尾灯控制电路的设计

课程设计说明书课程设计名称:数字逻辑课程设计课程设计题目:汽车尾灯控制电路设计学院名称:信息工程学院专业:计算机科学与技术班级:xxx学号:xxx 姓名:xxx评分:教师:20 12 年xx 月xx 日数字逻辑课程设计任务书20 11 -20 12 学年第二学期第18 周-19 周注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。

2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要课程设计作为数字逻辑课程的重要组成部分,目的是使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,锻炼我们的实际动手能力以及分析、解决问题的能力。

通过设计,一方面可以巩固我们的理论知识,另一方面也可以提高我们考虑问题的全面性,将理论知识上升到一个实践的阶段。

设计是工科学生必须面对的重要课题,经历这个过程才能真切感受到工科的魅力,拉近与生产的距离。

本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方法,主要阐述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法。

实验通过发光二极管模拟汽车尾灯来实现汽车在行驶时候的四种情况:正常行驶,临时刹车,左拐弯,右拐弯。

关键词:汽车尾灯,脉冲,计数器,译码器,刹车,转向目录前言 (4)1、设计内容及要求 (5)2、设计方案分析 (5)3、设计方案规划及设计 (5)3.1 设计思路及流程 (5)3.2 单元电路设计 (7)3.2.1 秒脉冲电路的设计 (7)3.2.2 开关控制电路的设计 (7)3.2.3 三进制计数器 (8)3.2.4 译码、显示驱动电路 (9)4、性能测试与仿真 (11)4.1 NI Multisim10的简单介绍 (11)4.2利用NI Multisim10进行测试与仿真 (11)5、结论 (13)6、参考文献 (13)附录I:总电路图 (14)附录II: 元件清单 (15)前言伴随着我国汽车行业的发展、汽车进口关税的减低和人均收入的持续增加,私家车的普及率愈来愈高,汽车持有量也愈来愈多。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

武汉理工大学《数字电子技术》课程设计报告学号:课程设计题目汽车尾灯显示控制电路设计学院信息工程学院专业通信工程班级通信0805姓名指导教师刘建新2010年 7 月 1日精品文档目录1 摘要 (1)2设计要求与思路 (2)2.1设计目的与要求 (2)2.2设计思路构想 (2)2.2.1汽车尾灯显示状态与汽车运行状态的关系 (2)2.2.2汽车尾灯显示控制功能描述 (2)3 单元电路设计 (4)3.1 秒脉冲电路的设计 (4)3.2 开关控制电路的设计 (5)3.3 三进制计数器电路的设计 (7)3.4 译码与显示驱动电路的设计 (8)3.5 尾灯状态显示电路的设计 (10)4电路仿真与分析 (11)4.1电路仿真总电路图 (11)4.2汽车尾灯显示控制电路的工作原理 (11)4.3各部分仿真结果 (12)4.4仿真中遇到的问题 (15)5 元器件清单 (16)6 设计体会 (16)7附录 (17)参考文献 (19)武汉理工大学《数字电子技术》课程设计报告1摘要课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。

本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方法。

主要阐述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法。

实验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。

关键字:汽车尾灯,脉冲,计数器,译码器,行驶情况AbstractCurriculum design as a simulation of digital electronic technology and electronic technology an important component of the course, on the one hand, the purpose of enabling us to further understanding of course content, the basic digital system design and debugging methods, applications of integrated circuits to increase knowledge, foster the ability of our hands as well as analysis, problem-solving abilities.This article describes a series of product design through simulation TTL auto taillight circuit methods work. Mainly on how to produce 555 series pulse generator, how to make use of JK flip-flop ternary system decoder of the counters and the use of a range of methods. Experimental simulation of vehicle through the light-emitting diode taillights to achieve a moving car when the four cases: the normal traffic, left turn, right turn, temporary brake.Keywords: auto lamps, pulse, counters, decoders, traffic situation.2设计要求与思路2.1设计目的与要求设计目的:设计一个汽车尾灯显示控制,实现对汽车尾灯状态的控制。

设计要求:在汽车尾部左右两侧各有3个指示灯(假定用发光二极管模拟),根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。

②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态2.2设计思路与构想2.2.1汽车尾灯显示状态与汽车运行状态的关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关K1和K0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表2.1所示。

表2.1 汽车尾灯和汽车运行状态(“0”表示开关打开,“1”表示开关合上)开关控制汽车运行状态6个发光二极管K1K0D1 D2 D3D4 D5 D61 1 正常运行灯灭灯灭1 0 右转弯按D1、D2、D3顺序循环点亮灯灭0 1 左转弯灯灭按D4、D5、D6顺序循环点亮0 0 临时刹车所有尾灯同时按cp闪烁2.2.2汽车尾灯显示控制功能描述在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出低电平,按要求顺序点亮3个指示灯。

设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP 之间关系的功能表如表2.2所示(表中指示灯的状态“1”表示点亮,“0”表示熄灭)。

表2.2 汽车尾灯显示控制功能表 控制变量 计数器状态 汽车尾灯K1K0 Q1 Q0 D1 D2 D3 D4 D5 D6 1 1 ×× 0 0 0 0 0 0 1 0 0 0 1 011 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 0 1 010 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 ×× cp cp cp cp cp cp 根据以上设计分析与功能描述,可以得出汽车尾灯显示控制的结构框图,如下图所示。

根据以上设计分析与功能描述,可得出汽车尾灯显示控制的结构框图。

整个电路可由秒脉冲电路、开关控制电路、三进制电路、译码与显示驱动电路、尾灯状态显示5部分组成。

3单元电路设计3.1秒脉冲电路的设计由555定时器构成的多谐振荡器①555定时器的管脚图如图3.1所示。

由于555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

所以由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。

因此采用此方案。

图3.1 555定时器的引脚图图3.1 555定时器的引脚图由于汽车尾灯是的点亮是给人的不同的信息及该车将要发生的动作,所以汽车的尾灯在闪烁的时候不能超过一定的频率,但是频率也不能太小,所以我们在设计的时候是采用的555定时器设计的一个脉冲产生源,占空比约为50%,它产生的频率F约为2HZ。

然后通过计数器就能控制汽车尾灯在循环点亮的时候时间间隔约为0.5S,这样就能让人很清楚的明白该汽车的动作以采取相应的动作从而避免交通事故的发生。

②参数设计计算公式高电平时间: C R R tph )(7.021+= 低电平时间: C R tpl 27.0= 占 空 比: R R R t t t pl ph ph D 2212+=+=③设计最后图形如右图所示:高电平时间 t ph =250.0ms低电平时间 t pl =213.9ms占 空 比 D=53.8%频 率 F=2.158④电路原理如图3.2所示。

图3.2用LM555制作脉冲发生器的原理图 3.2开关控制电路的设计开关控制电路由74LS 集成芯片构成。

设译码器与显示驱动电路的使能控制信号为G 和F,G 与译码器74LS138的使能输入端G1相连接,F 与显示驱动电路中与非门的一个输入端相连接。

由总体逻辑功能可知,G和F与开关控制变量,K1、K0以及时钟脉冲CP之间的关系如表3.1所示。

表3.1使能控制信号与开关控制变量、时钟脉冲的关系开关控制时钟脉冲使能控制信号电路工作状态K1 K0 CP G A1 1 ×0 1汽车正常行驶(此时译码器不工作,译码器输出全部为高,显示驱动电路中的与非门输出均为低,反相器输出均为高,尾灯全部熄灭)1 0 × 1 1 汽车右转弯行驶(此时译码器在计数器控制下工作,显示驱动电路中的与非门输出取决于译码器输出,右侧尾灯D1、D2、D3在译码器输出作用下顺序循环点亮)0 1 × 1 1 汽车左转弯行驶(此时译码器在计数器控制下工作,显示驱动电路中的与非门输出取决于译码器输出,左侧尾灯D4、D5、D6在译码器输出作用下顺序循环点亮)0 0 cp 0 cp 汽车临时刹车(此时译码器不工作,译码器输出全部为高,时钟脉冲cp通过显示驱动电路中的与非门作用到反相器输出端,使左右两侧的指示灯在时钟脉冲cp作用下同时闪烁)根据G和A的逻辑表达式,可画出开关控制电路。

如图3.3所示图3.3开关控制电路3.3三进制计数器电路的设计三进制计数器的状态表如表3.2所示。

表3.2三进制计数器的状态表现态次态Q1 Q0 Q1 Q00 0 0 10 1 1 01 0 0 01 1 ××方案一:由J-K触发器构成的三进制计数器;由于电路中只需采用一片双J-K触发器74LS76芯片即可(7476芯片引脚图如图 3.7所示),因此电路结构简单,成本低,所以选用此方案。

方案二:由D触发器构成的三进制计数器;两个D触发器可由一片双D触发器74LS74芯片实现,以及74LS00与非门和74LS04非门来实现此电路。

由于电路结构较之上一方案有点复杂,而且需要三个芯片(至少两个),成本较高,因此不采用此方案。

图3.4为74LS76引脚图,利用74LS76实现三进制计数电路如图3.5所示。

图3.4 74LS76芯片引脚图图3.5 三进制计数器3.4译码与显示驱动电路的设计译码与显示驱动电路的功能是:在开关控制电路输出和三进制计数器状态的作用下,提供6个发光二极管控制信号,当译码驱动电路输出的控制信号为低电平时,相应二极管点亮。

因此,译码与显示驱动电路可用74LS138(其功能表如表3.3所示)、6个与非门和6个反相器构成。

图中,译码器74LS138的输入端C、B、A分别接K1、Q1、Q0。

当G=A=1、K1=1、K0=0时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D1、D2、D3对应的反相器输出依次为低电平,从而使指示灯D1、D2、D3依次顺序点亮,示意汽车右转弯;当图中G=A=1、K1=0、K0=1时,对于计数器状态Q1Q0为00、01、10,译码器输出依次为0,使得与指示灯D4、D5、D6对应的反相器输出依次为低电平,从而使指示灯D4、D5、D6依次顺序点亮,示意汽车左转弯;当图中G=0,A=1时,即K1=K0=1,译码器输出为全1,使所有指示灯对应的反相器输出全部为高电平,又因为A=1,所以指示灯全部熄灭;当图中G=0,A=cp时,即K1=K0=0,使所有指示灯对应的反相器输出全部为高电平,所有指示灯随cp的频率闪烁。

相关文档
最新文档