简易交通灯控制器的设计报告
简易交通信号灯控制器课程设计报告书
《电工与电子技术基础》课程设计报告题目简易交通信号灯控制器学院(部)汽车学院专业车辆工程班级学生学号6 月 29 日至7 月 3 日共一周目录一、主要技术指标和要求 (2)二、摘要 (2)三、总体设计方案论证及选择 (2)四、设计方案的原理框图、总体电路原理图及说明1、设计方案的原理框图 (3)2、总体电路原理图及说明 (4)五、单元电路设计、主要元器件选择与电路参数计算1、CP脉冲发生器电路 (5)2、主控电路模板 (7)3、组合逻辑电路模块 (8)4、负载电路 (11)六、收获与体会,存在的问题 (12)七、参考文献 (13)八、附件(元件材料清单、原理电路图或其他说明) (14)一、主要技术指标和要求(1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡;(3)分别用红、黄、绿色放光二极管表示信号灯;(4)设计计时显示电路。
二、摘要在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。
目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。
本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。
采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。
采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。
利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。
通过这四个模块来实现对交通信号灯的控制。
三、总体设计方案论证及选择方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒计时计数器来控制各信号灯。
方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器的周期为80*1S=80S。
交通信号灯控制器课程设计报告
交通信号灯控制器课程设计报交通信号灯控制器课程报告一.设计要求1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行, 绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
2、主、支干道交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25 秒计时、显示电路。
3、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡。
提示:选择1HZ时钟脉冲作为系统时钟。
45秒、25秒、5秒定时信号用倒计时,计控制。
根据交通灯的亮的规则,在初始状态下四个方向的都为红灯亮启,进入正常工作状态后,当主干道上绿灯亮时,支干道上红灯亮,持续45S后,主干道和支干道上的黄灯都亮启,持续5S后,主干道上红灯亮启,支干道上绿灯亮启持续25S,之后主干道和支干道上的黄灯都亮启5s, 一个循环完成。
循环往复的执行这个过程。
设计中用两组红黄绿LED模拟两个方向上的交通灯,用4个7段数码管分别显示两个方向上的交通灯剩余时间,控制时钟由试验箱上频率信号1、2、时起始信号由主控电路给出,每当计满所需时间,计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。
二.设计思路本设计针对一条主干道和一条支干道汇合成的十字路口,进行南北和东西直行情况下交通灯提供。
根据状态机的设计规范,本次设计了四个状态之间的循环转化,其真值表及状态转化图如下所示三.程序说明1 •各输入输出变量说明:elk:计数时钟qclk:扫描显示时钟rst:复位信号,当rst为1时,控制器和计数器回到初始状态en:使能信号,当en为1时控制器开始工作,en为0时hold:特殊情况控制信号,hold为1时,主、支干道方向无条件显示为红灯seg:用于数码管的译码输出dig:用于选择显示的数码管(片选)numl:用于主干道方向灯的时间显示num2:用于支干道方向灯的时间显示light 1:控制主干道方向四盏灯的亮灭,其中,lightl[O卜lightl[2]分别控制主干道方向的绿灯、黄灯和红灯Hght2;控制支干道方向四盏灯的亮灭,其中,Hght2[0]-light2[2]分别控制支干道方向的绿灯、黄灯和红灯2 •输入输出及中间变量设置:module traffic(en,clk9qclk,rst,hold,numl,num2Jightl Jig ht2,seg,dig;input en,clk,qclk,rst9hold;output [5:0]dig;output[7:0] numl9num2;output[6:0]seg;output[2:0]lightl,light2;reg timl,tim2;reg [3:0]disp_dat;reg[6:0]seg;reg[7:0]numl,num2;reg [7:0] red 1 ,red2,green 1 ,green2,y ello wl ,y ello w2 reg[5:0]dig;reg [l:0]count;reg [ 1: OJstate 1 ,state2;reg [2: OJlight 1 Jight2;always @(en)if(!en) begingreenl<=8*b01000101;redl<=8fb00100101; yellow 1 <=8' bOOOOO 101; green2<=8*b00100101; red2<=8f b01000101; yellow2<=8,b00000101; end4 •主干道方向点亮顺序:always @ (posedge elk) //主干道 begin if(rst) beginlightl<=3f b001; numl<=greenl; end else if(hold) begin3 •初始状态设flightl<=3fbl00; numl<=greenl; end else if(en)begin if(!timl) begin case(statel)2fb00:begin numl<=greenl; statel<=2f b01; end2f b01: begin num 1 <=yellowl; statel<=2f bll; end 2'bll:b£gin numl<=redl; statel<=2f bl0; end2' b 10: begin num 1 <=yellow 1;statel<=2f b00; end default:lightl<=3,bl00; endcase endelse 〃主干道倒数计时 begin if(numl>0)if(numl[3:0]==0) begin numl[3:0]<=4,bl001; numl[7:4]<=numl[7:4]-l;lightl<=3,b001; lightlv=3'b010; lightl<=3f bl00;lightl<=3f b0X0;endelse numl[3:0]<=numl[3:0]-l;if(numl==l)timl<=0;endendelsebegin lightl<=3,b010; numl=2T b00; timl<=0; endend5 •支干道方向点亮顺序:always @ (posedge elk) //支干道beginif(rst)beginlight2v=3'bl00;num2<=red2;endelse if(hold)beginlight2v=3'bl00; num2<=red2; endelse if(en)beginif(!tim2)begintim2<=l;case(statel)2!b00:begin num2<=red2; state2<=2 f b01; end 2f b01: beginnum2<=yellow2; state2<=2f bll; end 2f bll: begin num2<=green2;state2<=2 *blO; end2' b 10: begin num2<=yellow2; state2<=2' bOO; end light2<=3f bl00; light2<=3,b010; light2<=3f b001; light2<=3f b010;default:light2<=3,bl00;endcaseendelse 〃支干道倒数计时beginif(num2>0)if(num2 [3:0]==0)beginnum2[3:0]<=4,bl001;num2 [7:4] <=num2 [7:4]-l; end else num2[3:0]<=num2[3:0] -1; if(num2==l)tim2<=0;end endelsebeginlight2<=3f b010; state2v=2'b00; tim2<=0;endend6 •数码管译码及显示:always @(posedge qclk) 〃定义上升沿触发进程begincount <= count +l T bl;end always @ (count) begincase(count)〃选择扫描显示数据2'dO : disp_dat <= numl[3:0]; 〃第一个数码管2'dl : disp_dat <= numl[7:4]; 〃第二个数码管2'd2 : disp_dat <= num2[3:0]; 〃第三个数码管2'd3 : disp_dat <= num2[7:4]; 〃第四default: disp_dat <= 0; endcaseendalways @ (count) begin case(count)数码管显示位2f d0 : dig<= 6P011111;//选择第一个数码 管显示 2f dl : dig<= 6P101111;//选择第二个数码 管显示 2P2 : dig <= 6P110111;//选择第三个数码 管显示2P3 : dig<= 6P111011;//选择第四个数码管显示default: dig<= 6^111111;endcase endalw 町s @ (disp_dat) begincase (disp_dat)〃七段译码个数码管〃选择4f b0000 : seg<= 7^0111111;〃显示” (T4'b0001 : seg <= 7^0000110; //显示T”4'b0010 : seg<= 7^1011011;〃显示”2”4f b0011 : seg<= 7^1001111;〃显示'3'4'b0100 : seg <=7^1100110; 〃显示”4”4^0101 : seg<= 7^1101101;〃显示”5”4^0110 : seg<= 7^1111101;〃显示”6”4'b0111 : seg<= 7^0000111;〃显示”7”4'bl000 : seg <= 7^1111111;4'bl001 seg <=g 曲*CW ulaion Kg LeC«l Hoti<Sxwiai Jlc XU*Sirrdat^rSatlioxiO Situldli (Brer w 釘| ◎ Ccrrc45boftRew!•... | 色 Ek.w* >•・ V«vef«r»sS>«ol*l i ・e ・od« TiaincI E *:.w7^1101111; // 显示”9”default:7P0111111;//不显示endcaseend endmodule三.仿真波形图IT - D;/t fic2/traffic - traffic 一 (Siaiolat ion Report - Sivulst ion曹 Z>Lo RdiQ vier "ojce, £s5i«rr-an« I«ol5 J>r 如生”seg<=1041kYiooiLin 1】10】1】 1】IO 】LomulOllll J10H1COO(01ICC010D 」I1UI0JumocjijuuvwuuuumifinwiRnjuinmfuuuiiifinnwuuuinjinmnjuu ififimuuuuuinnnjvuuuiJiG BOil Mil=3to 订“co 贩no»3 nca2 QClk r»t rst]38 <j>?TMoslcs Trr^Bar271邛 StatIcteivd 1205 m272MQ licl.il Q ltxhiz9:fl四.实物图。
交通灯控制电路的设计(实验报告)
交通信号灯控制电路的设计一、设计任务与要求1、任务用红、黄、绿三色发光二极管作为信号灯,设计一个甲乙两条交叉道路上的车辆交替运行,且通行时间都为25s的十字路口交通信号灯,并且由绿灯变为红灯时,黄灯先亮5s,黄灯亮时每秒钟闪亮一次。
2、要求画出电路的组成框图,用中、小规模集成电路进行设计与实现用EAD软件对设计的部分逻辑电路进行仿真,并打印出仿真波形图。
对设计的电路进行组装与调试,最后给出完整的电路图,并写出设计性实验报告。
二、设计原理和系统框图(一)设计原理1、分析系统的逻辑功能,画出其框图交通信号灯控制系统的原理框图如图2所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲信号发生器是该系统中定时器和该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
图1 交通灯控制电路设计框图图中:Tl:表示甲车道或乙车道绿灯亮的时间间隔为25s,即车辆正常通行的时间间隔。
定时时间到,Tl=1,否则,Tl=0.Ty:表示黄灯亮的时间间隔为5s。
定时时间到,Ty=1,否则,Ty=0。
St:表示定时器到了规定的时间后,由控制器发出状态转换信号。
它一方面控制定时器开始下一个工作状态的定时,另一方面控制着交通信号灯状态转换。
2、画出交通信号灯控制器ASM图(1)甲车道绿灯亮,乙车道红灯亮。
表示甲车道上的车辆允许通行,乙车道禁止通行。
绿灯亮足规定的时间隔TL时控制器发出状态信号ST转到下一工作状态。
(2)乙车道黄灯亮乙车道红灯亮。
表示甲车道上未过停车线的车辆停止通行已过停车线的车辆继续通行乙车道禁止通行。
黄灯亮足规定时间间隔TY时控制器发出状态转换信号ST转到下一工作状态。
(3)甲车道红灯亮乙车道绿灯亮。
表示甲车道禁止通行乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时 控制器发出状态转换信号ST转到下一工作状态。
EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器
交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。
(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。
(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。
(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。
二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。
频率设定CLK1k对应的频率为50MHZ。
2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。
3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。
4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。
三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。
四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。
简易交通灯控制课程设计报告书
轻工业学院电子技术课程设计题目:简易交通灯控制电路学生:马杰专业班级:电气工程14-02班学号: 541401020228院(系):电气信息工程学院指导教师:黄春完成时间: 2016年12月4日轻工业学院课程设计(论文)任务书题目简易交通灯控制电路专业电气工程14-02学号 541401020228 马杰主要容、基本要求、主要参考资料等:主要容1.阅读相关科技文献。
2.学习protel软件的使用。
3.学会整理和总结设计文档报告。
4.学习如何查找器件手册及相关参数。
技术要求1.要求控制一个十字路口的交通灯。
2.设定南北,东西向交通灯显示时间一样。
3.设定红灯绿灯均显示30秒,红灯绿灯切换时黄灯显示2秒。
4.要求红黄绿灯用发光二极管表示,并且显示出时间。
主要参考资料1.何小艇,电子系统设计,大学,2001年6月2.福安,电子电路设计与实践,科学技术,2001年10月3.王澄非,电路与数字逻辑设计实践,东南大学,1999年10月4.银华,电子线路设计指导,北京航空航天大学,2005年6月5.康华光,电子技术基础,高教,2003完成期限: 2016年12月04日指导教师签章:专业负责人签章:2016年11月28日轻工业学院简易交通灯控制电路摘要本论文主要阐述了交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。
随着中国加入WTO,我们不但要在经济、文化、科技等各方面与国际接轨,在交通控制方面也应与国际接轨。
俗话说“要想富,先修路”,但路修好了如果在交通控制方面做不好道路还是无法保障畅通安全。
作为交通控制的重要组成部份的交通信号灯也应国际化。
随着社会经济的发展,城市交通问题越来越引起人们的关注。
人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。
所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。
简易交通灯报告(附源程序——汇编语言)
题目:简易交通灯一、设计目的利用TDN微机原理试验箱及单元电路,实现对一道路交通灯的控制。
当通过车辆计数达到50时,绿灯灭,红灯亮,同时数码块倒计时若干秒(此次设计中设为9秒)。
此时,车辆停止,行人可以通过。
二、参加人员及分工李——试验报告霍——调试程序洪——编写程序三、技术要求1、设计完成交通灯的电路2、采用TDN试验箱上的8255、8259、8253完成3、程序设计中采用软件延时四、试验器材TDN-MD86/51实验箱一台,8253一片,8255一片,8259一片,数码管一组,发光二极管一组。
五、设计和调试环境TDN-MD86/51教学试验系统六、题目分析、工作原理、设计思想题目分析我们所做的交通灯是控制单路口处车辆和行人的通过及停止的简易交通灯。
因此需要两个灯和一块用于显示倒计时的数码块来控制车辆和行人的通行、停留问题。
其中两个灯分为红绿色,主要根据两只灯的亮灭情况来控制车辆,当红灯灭绿灯亮的时候车辆可以通过,而红灯亮绿灯灭时车辆就要停止通行。
数码块用于显示倒计时的时间以控制人,当倒计时开始时人通行,倒计时结束后行人就要等待。
根据题目分析得出以下设计思想及工作原理:设计思想在一开始启动交通灯时设置为红灯灭绿灯亮,让车辆先通行,行人等待,当通过50辆车后,红绿灯都同时灭然后红灯亮绿灯灭且数码快开始倒计时,此时为行人通行时间。
然后当数码块倒计时到0时行人通行的时间结束。
转而红灯灭绿灯亮又改为车辆通行时间。
红绿灯和数码块如此反复工作就构成的交通灯。
工作原理通过向8259写入程序来控制其他器件的工作。
在程序一开始写入中断向量和各芯片的控制方式字,以确保发生中断是正确的响应中断及芯片正确的工作状态。
接下来的程序就是控制8255和8253,8255选择工作方式1,A、B、C、口都做基本的输入输出。
通过8255A 口先让红灯灭绿灯亮。
8253选择工作方式2:计数停止中断,通过8253对车辆的计数达到50辆车是就发出中断请求。
一个十字路口的交通灯控制系统设计报告
一个十字路口的交通灯控制系统设计报告设计报告
一、设计目的
设计每个方向的交通灯控制系统,以解决车辆拥堵的问题,并尽可能
减少事故的发生。
二、原理和要求
1.交通灯控制系统的目标是调整车辆的流量,从而避免拥堵和事故
的发生。
2.根据路口的布局,设计一个控制系统,使各方向的车辆可以有序
通过路口。
3.控制系统需要包括时间策略、车辆流量控制以及实时变更等组件。
4.控制系统的运行稳定性,准确性,可靠性等特性也是需要考虑的。
三、相关技术
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
对灯光变化的时间策略进行设计。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置数据,进行实时的车辆流量控制。
3.实时变更:采用实时数据采集技术,监视路口的变化,对路口的
灯光策略进行实时变更,以保证路口的安全性和流量的正常状态。
四、系统设计
1.时间策略:采用数字信号处理技术,结合十字路口的布局特性,
设计灯光变化的时间策略,实现路口灯的有序变化,调控车辆的通行流量。
2.车辆流量控制:采用软件技术,结合摄像机获取到的车辆实时位
置信息。
交通灯控制器设计报告
(封面)XXXXXXX学院交通灯控制器设计报告题目:院(系):专业班级:学生姓名:指导老师:时间:年月日设计内容及要求(题目)任务1:交通灯控制器的设计设计内容与要求①设计一个十字路口交通信号灯的控制电路。
要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,且将运行时间用数码管显示出来。
②绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。
要求主干道每次通行时间为Tx秒,支干道每次通行时间为Ty秒。
每次变换运行车道前绿灯闪烁,持续时间为5秒。
即车道要由X转换为Y时,X在通行时间只剩下5秒钟时,绿灯闪烁显示,Y仍为红灯。
③可以对X,Y车道上交通灯运行的时间进行重新设置,20≦Tx≦99 ,10≦Ty≦39④对器件进行在系统编程和实验验证。
⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。
可以看得出其实四个状态可以简化,在后期实施的时候为了简化故将四个状态转换成了两个状态,即为干路和支路的两个不同的状态修改后的状态结构框图如下(未加附加功能):State1:干路绿灯开始常亮,支路红灯开始常亮,等到了干路计时还剩下五秒的时候,干路绿灯交替闪亮,支路红灯不变。
State2: 2.干路转换为红灯常亮,支路变为为绿灯常亮,等到了支路计时还剩下五秒的时候,支路绿灯交替闪亮,干路红灯不变。
在分析系统的组成结构,可以知道系统大概有三个部分组成,其中不难得出,包括:1.显示部分:分为指示灯显示和数码管显示(其中这两者应该是同步的,同一控制器,秒脉冲控制)。
2.计数部分:计数器递减计数要求是以秒脉冲计数,当达到指定时间要有重置部分将时间重置,重新计数。
3.控制部分:提供秒脉冲时钟信号,控制数码管和指示灯的变化以及设置时间等功能。
三部分之间的关系可以表达为:重置计数器重新开始计数控制时间达到预定值经上面分析,大致可以得到整个交通灯的结构框图:系统框图经过分析可知,该设计需要时钟信号,所以需要秒脉冲信号 1.信号发生器要显示亮灯时间需要 2.计数器3.数码显示器对于主路和支路需要显示红绿灯,故需要4.信号显示灯5.状态译码器其中各部分功能如下:交通指示灯:显示主支路通行情况;数码管显示:显示通行剩余时间,从绿灯亮起开始计算,转换灯的颜色后重新计数;状态译码器:经控制器控制,输出交通指示灯和数码管显示当前状态;控制器:控制状态译码器的输出状态和控制递减计数器的计数和重置;重置装置:接收控制器的命令,在相应的时段使递减计数器重置,重新从预设值递减;减法计数器:控制数码显示管的数值计时显示;分频器:将脉冲信号提供的源信号进行分频,得到秒脉冲信号;脉冲源信号:为整个电路提供初始脉冲源信号;设置时间: 由控制器输入设置,改变主支路上的绿灯的时间。
交通灯控制器实验报告
........学院《单片机原理及应用》课程设计报告题目:交通灯控制器班级:学生姓名:学号:指导老师:日期:年月日摘要当前,大量的信号灯电路正向着数字化、小功率、多样化、方便人、车、路三者关系的协调,多值化方向发展随着社会经济的发展,城市交通问题越来越引起人们的关注。
设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。
以下就是运用数字电子设计出的交通灯:本设计是十字路口交通灯控制,所以依据实际交通灯的变化情况和规律,给出如下需求:一个十字路口为东西南北走向。
初始状态25s为南北红灯,初始状态20s东西绿灯。
然后转状态1,南北红灯,东西绿灯闪烁3s,黄灯2s。
再转状态2,南北红灯灭,绿灯亮,东西绿灯灭,红灯亮。
再转状态3,东西红灯,南北绿灯闪烁3s,黄灯2s。
再转初始状态。
关键词:信号灯电路交通控制系统EDA技术目录一. 绪论 (4)引言1.1 设计任务 (4)1.1.1设计题目1.1.2设计内容1.2 系统需求 (4)1.2.1基本要求1.2.2发挥部分1.3小组成员及个人完成情况 (4)1.3.1小组成员1.3.2个人完成情况二.方案比较、方案设计与方案论证 (5)2.1电源提供方案2.2显示界面方案三.单元模块设计 (6)3.1单片机及其外接电路3.2 交通灯时间显示模块3.3按键控制模块3.4声音报警系统四.系统调制与分析 (10)五.总结与心得 (14)参考文献 (14)附录一系统原理图 (15)附录二元件清单 (16)附录三系统源程序 (17)一.绪论引言城市修建城市高速道路,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。
然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。
而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。
交通灯控制系统设计-实验报告
交通灯控制系统设计-实验报告
实验目的:设计一个交通灯控制系统,实现对交通灯的自动控制。
实验材料:
1. Arduino UNO开发板
2. 红绿黄LED灯各1个
3. 杜邦线若干
实验原理:
交通灯系统的控制主要是通过控制LED灯的亮灭来实现。
红
色LED灯表示停止,绿色LED灯表示通行,黄色LED灯表
示警示。
通过控制不同LED灯的亮灭状态,可以模拟交通灯
的不同信号。
实验步骤:
1. 将红色LED灯连接到Arduino开发板的数字输出引脚13,
绿色LED灯连接到数字输出引脚12,黄色LED灯连接到数
字输出引脚11。
2. 在Arduino开发环境中编写控制交通灯的程序。
3. 将Arduino开发板与计算机连接,将程序上传到Arduino开
发板中。
4. 接通Arduino开发板的电源,观察交通灯的亮灭状态。
实验结果:
根据程序编写的逻辑,交通灯会按照规定的时间间隔进行变换,实现红绿灯的循环。
实验总结:
通过本次实验,我们设计并实现了一个简单的交通灯控制系统。
掌握了Arduino编程和控制LED灯的方法,加深了对控制系
统的理解。
通过实验,我们发现了交通灯控制系统的重要性和意义,为今后的交通控制提供了一种可行的解决方案。
交通灯控制实验报告
一、实验目的1. 理解交通灯控制系统的工作原理和基本组成。
2. 掌握PLC(可编程逻辑控制器)编程和调试方法。
3. 学习交通灯控制系统的硬件连接和电路设计。
4. 提高实际应用中解决复杂问题的能力。
二、实验原理交通灯控制系统是城市交通管理的重要组成部分,其基本原理是通过对交通信号灯进行控制,实现交通流量的有序疏导。
本实验采用PLC作为控制核心,通过编写程序实现对交通灯的定时控制。
三、实验器材1. PLC主机2. 交通灯控制模块3. 电源模块4. 交通灯模型5. 连接线四、实验步骤1. 硬件连接:- 将PLC主机与交通灯控制模块、电源模块和交通灯模型连接。
- 将PLC主机与计算机连接,以便进行程序编写和调试。
2. 程序编写:- 根据交通灯控制要求,编写PLC程序。
- 程序主要包括以下部分:- 启动信号处理:检测启动开关状态,控制交通灯开始工作。
- 定时控制:根据设定的时间,控制交通灯的红、黄、绿灯亮灭。
- 紧急处理:检测紧急处理开关状态,实现交通灯的紧急控制。
3. 程序调试:- 在计算机上运行PLC程序,观察程序运行效果。
- 根据实际情况,对程序进行调试和优化。
4. 实验验证:- 在实际硬件环境中运行程序,观察交通灯控制效果。
- 验证程序是否满足实验要求。
五、实验结果与分析1. 实验结果:- 在实验过程中,成功实现了交通灯的控制,实现了红、黄、绿灯的定时切换。
- 在紧急情况下,能够实现交通灯的紧急控制。
2. 结果分析:- 通过实验,掌握了PLC编程和调试方法,提高了实际应用中解决复杂问题的能力。
- 实验结果表明,所设计的交通灯控制系统具有良好的稳定性和可靠性。
六、实验总结本次实验成功实现了交通灯控制系统的设计与实现,达到了预期目标。
通过实验,我们掌握了以下知识点:1. 交通灯控制系统的工作原理和基本组成。
2. PLC编程和调试方法。
3. 交通灯控制系统的硬件连接和电路设计。
本次实验提高了我们的实际应用能力,为以后从事相关领域工作奠定了基础。
简易交通信号灯控制器课程设计报告书
《电工与电子技术基础》课程设计报告题目__________ 简易交通信号灯控制器__________学院(部)汽车学院 ___________________专业____________ 车辆工程 ___________________班级______________________________学生________________________学号______________________________6 ____ 月.29—日至_7—月3_日共一周目录一、主要技术指标和要求 (2)二、摘要 (2)三、总体设计方案论证及选择 (2)四、设计方案的原理框图、总体电路原理图及说明1、设计方案的原理框图 (3)2、总体电路原理图及说明 (4)五、单元电路设计、主要元器件选择与电路参数计算1、C P脉冲发生器电路 (5)2、主控电路模板 (7)3、组合逻辑电路模块 (8)4、负载电路 (11)六、收获与体会,存在的问题 (12)七、参考文献 (13)八、附件(元件材料清单、原理电路图或其他说明) (14)一、主要技术指标和要求(1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡;(3)分别用红、黄、绿色放光二极管表示信号灯;(4)设计计时显示电路。
二、摘要在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。
目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。
本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。
采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。
采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。
利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。
交通灯控制器设计实验报告
一、实习目的:1. 通过实习让学生掌握开关电源整机电路;2. 能够根据印制电路板画出整机电路图;3. 能够识别检测开关电源的元器件;4. 能够正确拆卸和焊接元器件;5. 会测试主要工作点的阻值、电压和波形;6. 能够根据故障现象判断故障部位;7. 能够进行实际故障维修。
二、实训器材:万用表、开关电源套件、电烙铁、焊锡、吸锡器。
三、实习原理与步骤:1.认识拆卸、检测元器件。
电阻:5.6Ω,270k,5.1k,270Ω,2.7k,10k,15k。
四个色环电阻的识别:第一、二环分别代表两位有效数的阻值;第三环代表倍率;第四环代表误差。
五个色环电阻的识别:第一、二、三环分别代表三位有效数的阻值;第四环代表倍率;第五环代表误差。
然后用万用表将两表笔(不分正负)分别与电阻的两端引脚相接测出实际电阻值进行比对。
为了提高测量精度,应根据被测电阻标称值的大小来选择量程。
电容:100uF/400WV,100uF/50,10uF/350,100uF/160,22uF/50V,57PF,47000PF。
用万用表进行测量,只能定性的检查其是否有漏电,内部短路或击穿现象。
测量时,可选用万用表R×10k挡,用两表笔分别任意接电容的两个引脚,阻值应为无穷大。
若测出阻值(指针向右摆动)为零,则说明电容漏电损坏或内部击穿。
二极管:1N4007,RG2,FR107。
测试前先把万用表的转换开关拨到欧姆档的RX1K档位(注意不要使用RX1档,以免电流过大烧坏二极管),再将红、黑两根表笔短路,进行欧姆调零。
①正向特性测试,把万用表的黑表笔(表内正极)搭触二极管的正极,红表笔(表内负极)搭触二极管的负极。
若表针不摆到0值而是停在标度盘的中间,这时的阻值就是二极管的正向电阻,一般正向电阻越小越好。
若正向电阻为0值,说明管芯短路损坏,若正向电阻接近无穷大值,说明管芯断路。
短路和断路的管子都不能使用。
②反向特性测试,把万且表的红表笔搭触二极管的正极,黑表笔搭触二极管的负极,若表针指在无穷大值或接近无穷大值,管子就是合格的。
交通灯控制器设计报告
交通灯控制器设计报告一.设计目的.(1)初步学习,运用VHDL(Verilog)语言编写模块程序。
(2)巩固课堂所学的组合逻辑电路,时序逻辑电路及其有关的应用,如计数器,分频器等。
(3)提高实践及运用意识。
二.设计任务及要求.利用EDA/SOPC实验开发平台提供的八位七段管码显示模块以及EP2C35核心板,实现交通灯信号控制器。
设交通灯信号控制器用于主干道公路的交叉路口,要求是优先保证主干道的畅通,因此,平时处于“主干道绿灯,支道红灯”状态。
(1)当处于“主干道绿灯,支道红灯”状态:①主干道有车要求通行,支道也有车要求通行时,若主干道通行时间大于等于30秒则切换到“主黄,支红”,4秒后自动切换到“主红,支绿”。
②主干道无车要求通行,支道有车要求通行时,立即切换到“主黄,支红”,4秒后自动切换到“主红,支绿”。
其它情况保持“主绿,支红”状态。
(2)当处于“主干道红灯,支道绿灯”状态:①支道有车要求通行时,保持“主红,支绿”状态,但最多保持30秒然后自动切换到“主红,支黄”状态,4秒后自动切换到“主绿,支红”状态。
②支道无车要求通行时,立即切换到“主红,支黄”状态,4秒后自动切换到“主绿,支红”状态。
(3)利用八位七段管码显示模块其中的2位实现时间显示。
(4)扩展要求:自主设计(如改变时间显示方式,丰富控制逻辑等)三.设计原理及方案.1.总体设计框图2.原理概述:(1)由于主干道,支干道的交通灯均在绿,黄,红三种状态之间有顺序的转换,组合共有四种。
所以,利用状态机按照设定的条件实现“主绿,支红” 、“主黄,支红” 、“主红,支绿”、“主红,支黄”4种状态之间的切换。
(2)因为红黄绿灯之间转换有时间限制,所以要有计数器,可用七段数码管显示模块,由于时间是两位数,所以只需要八位七段管码显示模块其中的2位实现时间显示。
(3)由于实验室提供的基准频率为50MHZ,所以得用分频器得到所需要的频率。
四.电路设计及实现.(1)各模块设计程序及模块图:1定时器定时器由系统秒脉冲和同步计数器构成。
简易交通灯设计报告
《电工与电子技术基础》课程设计报告题目简易交通信号灯控制器学院(部)汽车学院专业物流工程班级2013220702学生姓名谯业欢学号************6 月28 日至7 月6日共1 周简易交通信号灯控制器前言经济和工业的发展,对交通有了更高的要求,因此交通也日益发达,各式各样的马路,立交桥纵横交错,为了高速安全的运行,安装交通灯是必不可少的。
十字路口,红绿灯指导着车辆和行人的安全,使交通井然有序,所以交通信号灯尤为重要。
目前,我国已经实现了用计算机智能控制交通灯,使得交通管理工作逐步实现了智能化。
为了更好了解交通灯工作原理,我们将学习运用电子与电工技术尝试制作自动控制装置。
本课程将使用电工与电子技术及其他相关知识,实现一个简单交通灯的控制电路。
这将有利于学生更好掌握电工与电子技术这门课程所学知识,更好认识交通灯的工作原理。
此外,该课程设计也很好地引导学生将理论知识运用与实际操作,提高了学生解决实际问题的能力。
目录第一章系统概述 (8)1. 设计方案 (8)2. 设计方案的分析与选择 (9)第二章单元电路的设计与分析 (10)1.555脉冲发生器 (10)2. 分频器 (14)3. 主控制器 (16)4. 倒计数器 (19)5. 显示管译码器 (21)第三章电路的组装与调试 (22)1. 分析与说明 (22)2. 原理框图 (23)3. 原理电路图 (24)第四章结束语 (25)1. 总结 (25)2. 收获与体会 (25)3. 存在的问题 (25)参考文献 (26)元器件明细表 (27)摘要通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄。
绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。
因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。
1.设计一个交通灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外;2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号;3.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路;4.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时,显示电路。
简易交通灯控制器的设计报告
一、概述交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。
而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。
这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。
智能交通灯系统正是解决这一矛盾的途径之一。
对城市交通流进行智能控制,可以使道路畅通,提高交通效率。
合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。
二、方案论证设计一个简单的交通灯控制器。
实际上就是四个平时状态加上一个紧急状态。
我们不妨设:S1:南北方向红灯亮,东西方向绿灯亮,时间15s;S2:南北方向红灯亮,东西方向黄灯亮,时间3s;S3:南北方向绿灯亮,东西方向红灯亮,时间l5s;S4:南北方向黄灯亮,东西方向红灯亮,时间3s;S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。
图1 主电路状态与指示灯状态转换S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。
剩余的四个状态我们可以放在一起来综合考虑。
因为四个状态是轮换的。
首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。
其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。
555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。
由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。
PLD交通灯控制器设计报告
数字系统设计报告交通灯控制器一.实验目的1.基本掌握自顶向下的模块化的电子系统设计方法;2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II开发工具的使用方法;3.培养学生自主学习、正确分析和解决问题的能力。
二.设计要求我在本课程中所选择的课题是用Verilog HDL实现交通灯控制器。
该课题的具体内容及要求如下:主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。
红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。
主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。
(1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。
(2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。
主干道最短通车时间为25s 。
(3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s 交替进行。
(4)不论主干道情况如何,乡村公路通车最长时间为16s。
(5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时间的黄灯作为过渡。
(6)用开关代替传感器作为检测车辆是否到来的信号。
用红、绿、黄三种颜色的发光二极管作交通灯。
要求显示时间,倒计时。
交通灯控制器框图如下:(C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG 分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭)系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
一、概述
交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超
级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。
而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。
这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。
智能交通灯系统正是解决这一矛盾的途径之一。
对城市交通流进行智能控制,可以使道路畅通,提高交通效率。
合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能
源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。
二、方案论证
设计一个简单的交通灯控制器。
实际上就是四个平时状态加上一个紧急状态。
我们不妨设:
S1:南北方向红灯亮,东西方向绿灯亮,时间15s;
S2:南北方向红灯亮,东西方向黄灯亮,时间3s;
S3:南北方向绿灯亮,东西方向红灯亮,时间l5s;
S4:南北方向黄灯亮,东西方向红灯亮,时间3s;
S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。
图1 主电路状态与指示灯状态转换
S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。
剩余的四个状态我们可以放在一起来综合考虑。
因为四个状态是轮换的。
首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。
其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。
555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。
由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。
再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。
最后,就可以基本实现红绿灯的简单控制。
图2 红绿灯控制器的基本流程图
三、电路设计
1.脉冲产生电路
脉冲是由555时基电路构成的多谐振荡器产生的。
选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:
图3 脉冲产生电路
其高电平时间为:
s C R R T 812.0)(7.0211=+= 其低电平时间为:
s C R T 203.07.022== 占空比:
%80203
.0812.0812
.0q 2
11=+=
+=
T T T
频率f=1.015Hz (约为1Hz ) 2.倒计时电路
因为是倒计时的15s 和倒计时的3s ,涉及到两位数,所以采用两片十进制可逆计数器芯片。
出
图3 倒计时电路
我们要完成的是15s 和3s 的倒计时循环,因为是倒计时,所以将脉冲接到down 的一端。
左边的芯片表示的是十位的数字,右边的芯片表示的是各位的数字。
十位之涉及到0和1,所以只要控制A 端的输入为0或1,所以用一个异或门连接译码器的Y0和Y2上,因为这两个输出端控制的是黄灯的状态(具体在译码器部分有解释),剩下的端口全部接地。
各位的数字之涉及到3和5,所以输入端为0101和0011,这两个数的差别就在于中间的两位并且互反,所以将c 端口接到译码器的b 端口即可。
并将两个借位输出连接到一个与门上来控制置数端。
3.计数电路
用计数器来计数四个状态,就是从15s->3s->15s->3s 进行循环,输出为00 01 10 11。
选择74LS160芯片,用的是置数法,实现四进制计数器。
如图:
译码器的译码器的
图4 计数电路
这计数电路就是用来循环记录00 01 10 11 四个基本状态的。
4.状态译码电路
从十进制计数器输出了4个状态,对这四个状态进行译码。
真值表如下: (因为只有四个状态,超出的部分就不写了)
表1 3位二进制译码器真值表(部分)
译码阶段已经完成,接下来就是用这些译码出来的信号。
来控制四个方向红绿灯的状态。
输出为0001,0010,0100,1000的时候分别对应着S3,S1,S2,S4四个状态,并且同方向的一个灯亮起的时候剩余的灯必须是保持暗的状态。
所以用与非门和非门来控制二极管。
首先是黄灯的控制,黄灯出现在S2和S4的状态下,所以将非门连接在Y1,Y3
处。
将绿灯接在Y0,Y2处。
红灯的切换是在黄灯亮之后,所以用与非门来控制。
南北的与非门两端分别接Y2,Y3;东西的与非门两端分别接Y1,Y3。
接下来说明一下为什么要这么接。
就S1状态而言,南北方向红灯亮,东西方向绿灯亮,时间15s ,译码器的输出为0010。
此时东西方向有且仅有绿灯在亮,南北方向有且仅有红灯在亮。
所以东西方向的绿灯要是想亮就得连接Y1端。
同理,分析四种状态分别得出南北的黄灯连接Y0,南北的绿灯连接Y3,东西的黄灯连接Y2。
之后分析如何让红灯亮。
红灯的亮暗是在黄灯的亮暗之后切换的,所以用一个与非门。
先用南北方向上的红灯来分析,南北的红灯时在S1和S2两个状态都亮的,其输出为0010和0100,所以与非门的两端接的是Y1与Y2。
同理东西方向的红灯连接的是Y0与Y3。
端
图5 状态译码电路
5.紧急控制开关电路
开关没开启之前要保持之前的状态,开关开启之后,四个红灯全部亮起,并且计数器归零。
首先,让四个红灯全部亮起。
在对红灯输入信号之前接出一个或门,其中的一端接原来的信号,另一端接0,这样保证了原有信号的输出。
开关闭合后,让原本接0信号的那一段改变为1信号,就强制使红灯的输出变成了1,实现了功能。
其次,让计数器归0。
开关没有动作之前,让十进制可逆计数器的清零端接0信号,开关动作后,让清零端接1信号,实现了清零的功能。
如图:
图6 紧急开关控制
四、性能测试
1. 脉冲产生电路的测试
为了在仿真中快速的看到结果,故将电容缩小了100倍,仿真如下图,脉冲周期约为10ms ,扩大100倍即为1s ,频率为1Hz 。
Key = Space
0的清零端
或门
图7 脉冲产生电路仿真测试图2.倒计时电路的测试
检验倒计时计数器是否可以从15s和3s开始倒计时。
图8 十进制可逆计数器15s检测图
图9 十进制可逆计数器3s检测图
3.电路整体性能测试
总电路见附录I。
(由于十进制计数器计数4种状态,3—8线译码器,紧急控制开关无法单独显示测试结果所以放在电路的整体性能的测试上)
S1状态:南北方向红灯亮,东西方向绿灯亮,时间15s;
图10 S1状态检测图
S2:南北方向红灯亮,东西方向黄灯亮,时间3s;
图11 S2状态检测图S3:南北方向绿灯亮,东西方向红灯亮,时间l5s;
图12 S3状态检测图
S4:南北方向黄灯亮,东西方向红灯亮,时间3s
图13 S4状态检测图S5(紧急状态):如果发生紧急事件,可手动控制四个方向红灯全亮
图14 紧急状态检测图
五、结论
经过两周的努力终于完成了关于交通灯控制电路的电子课程设计,通过不断的查资料让我积累了许多实际操作经验,已初步掌握了数电的应用技术。
我深刻体会到数字电子技术对当今现代社会的重要作用。
经过这次设计,我学会了怎样把计划付诸于实际行动中。
同时与社会的不断高速发展的步伐相比,我认识到自己所学的知识和技能还远远不足,缺乏应有的动手解决实际问题的能力,有待在今后的学习实践中进一步提高。
经过这次课程设计,我更加认识到要学好自己的专业知识以适应不断发展的社会。
参考文献
[1] 阎石主编.,数字电子技术. [M]北京:高等教育出版社,2006年
[2] 陈振官等编著.,新颖高效声光报警器. [M]北京:国防工业出版社,2005年
[3] 彭介华,电子技术课程设计指导[M].北京:高等教育出版社
[4] 孙梅生,李美莺,徐振英. 电子技术基础课程设计[M]. 北京:高等教育出版社
[5] 梁宗善.,电子技术基础课程设计[M]. 武汉:华中理工大学出版社
[6] 张玉璞,李庆常. 电子技术课程设计[M]. 北京:北京理工大学出版社
[7] 谢自美,电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社
附录I 总电路图
11
附录II 元器件清单
12。