方波发生器实验报告

合集下载

波形发生器实验报告(1)

波形发生器实验报告(1)

波形发生器实验报告(1)波形发生器实验报告一、实验目的本实验的目的是通过使用示波器和电子电路来调制和产生不同的波形。

二、实验仪器与器材示波器、经过校准的函数发生器、万用表。

三、实验原理函数发生器是一种电子电路,可以产生不同类型的波形,例如正弦波、方波、三角波等。

为了实现这些波形,函数发生器中需要使用不同的电路元件。

例如,产生正弦波需要使用振荡电路,而产生方波需要使用比较器电路。

函数发生器的输出信号通过示波器来显示和测量。

四、实验步骤1.连接电路:将电源线连接到函数发生器和示波器上。

2.打开电源:按照设备说明书的步骤打开函数发生器和示波器的电源。

3.调节函数发生器:使用函数发生器的控制按钮来选择所需的波形类型,并调节频率和振幅。

使用示波器来观察和测量所产生的波形。

4.调节示波器:使用示波器的控制按钮来调整波形的亮度、对比度、扫描速度等参数,以达到最佳观测效果。

5.记录实验结果:记录所产生的不同波形类型、频率和振幅,并观察和记录示波器的显示结果。

五、实验结果通过本实验,我们成功地产生了正弦波、方波和三角波等不同的波形,并观察了这些波形的频率和振幅。

示波器的显示结果非常清晰,可以直观地观察到波形的特征和参数。

我们还对示波器的参数进行了调整,以获得最佳的观测效果。

六、实验结论本实验通过使用示波器和函数发生器,成功地产生了不同类型的波形,并观察了波形的特征和参数。

这些波形可以应用于各种电子电路实验中,并且需要根据具体应用要求进行调整和优化。

示波器是一种非常重要的测试仪器,可以直接观察和测量电路中的波形和信号特性,因此应用广泛。

三角波方波发生器实验报告

三角波方波发生器实验报告

三角波方波发生器实验报告一、实验目的本实验旨在掌握三角波、方波发生器的工作原理,学习使用运算放大器、电容、电阻等元器件搭建三角波、方波发生器电路,并对其进行调试。

二、实验原理1. 三角波发生器三角波发生器是一种能够输出呈直线上升或下降的信号的电路,其输出信号的频率和幅度可以通过改变电路中元件参数来调节。

常用的三角波发生器电路是基于反相输入正弦振荡器和积分放大器构成的。

2. 方波发生器方波发生器是一种能够输出高低电平交替出现的信号的电路,其输出信号频率和占空比可以通过改变元件参数来调节。

常用的方波发生器电路是基于反相输入比较器和反馈网络构成的。

三、实验步骤及结果1. 搭建三角波发生器电路将运算放大器(LM358)连接至两个10kΩ电阻组成反相输入正弦振荡器,再将积分放大器(LM358)连接至10kΩ电阻和100nF陶瓷电容组成积分放大网络。

调节电路中电阻和电容的参数,使其输出三角波信号。

示波器测量输出信号频率为1kHz,幅度为±3V。

2. 搭建方波发生器电路将运算放大器(LM358)连接至两个10kΩ电阻组成反相输入比较器,再将反馈网络连接至100kΩ电阻和1nF陶瓷电容组成积分放大网络。

调节电路中电阻和电容的参数,使其输出50%占空比的方波信号。

示波器测量输出信号频率为1kHz,幅度为±3V。

四、实验分析通过本实验的搭建和调试过程,我们深入了解了三角波、方波发生器的工作原理,并掌握了使用运算放大器、电容、电阻等元器件搭建三角波、方波发生器的方法。

同时,在实验中我们也学会了如何通过改变元件参数来调节输出信号频率和幅度。

五、实验总结本次实验是一次很好的综合性实验,在实践中我们不仅学习到了基础的三角波、方波发生器原理,还掌握了一些基本的模拟电路设计方法和手段。

在以后的学习和实践中,我们应该更加深入地理解和掌握这些知识,为以后的电路设计打下坚实的基础。

方波信号的分解与合成实验报告

方波信号的分解与合成实验报告

方波信号的分解与合成实验报告一、实验目的1.了解方波信号的特点和性质;2.学习使用傅里叶级数分解和合成方波信号;3.掌握实验仪器的使用方法和实验操作技巧。

二、实验原理1.方波信号的特点和性质方波信号是一种周期性的信号,其波形为矩形,即在一个周期内,信号的幅值在一段时间内为正,另一段时间内为负,且幅值大小相等。

方波信号的频率是指信号在一个周期内重复的次数,单位为赫兹(Hz)。

2.傅里叶级数分解和合成方波信号傅里叶级数是将一个周期性信号分解成一系列正弦和余弦函数的和的方法。

对于一个周期为T的周期性信号f(t),其傅里叶级数表示为:f(t)=a0/2+Σ(an*cos(nωt)+bn*sin(nωt))其中,a0/2为信号的直流分量,an和bn为信号的交流分量,ω=2π/T为信号的角频率,n为正整数。

傅里叶级数合成是将一系列正弦和余弦函数的和合成为一个周期性信号的方法。

对于一个周期为T的周期性信号f(t),其傅里叶级数合成表示为:f(t)=Σ(cncos(nωt)+dnsin(nωt))其中,cn和dn为信号的傅里叶系数,n为正整数。

三、实验器材和仪器1.示波器2.函数信号发生器3.万用表4.电阻箱5.电容箱四、实验步骤1.将函数信号发生器的输出设置为方波信号,频率为1kHz,幅值为5V。

2.将示波器的输入连接到函数信号发生器的输出端口。

3.调节示波器的水平和垂直控制,使得方波信号的波形清晰可见。

4.使用万用表测量方波信号的频率和幅值,并记录数据。

5.使用电阻箱和电容箱分别改变方波信号的频率和幅值,并记录数据。

6.使用傅里叶级数分解方法,将方波信号分解成一系列正弦和余弦函数的和,并记录数据。

7.使用傅里叶级数合成方法,将一系列正弦和余弦函数的和合成为一个周期性信号,并记录数据。

五、实验结果与分析1.方波信号的特点和性质通过示波器观察方波信号的波形,可以发现其具有矩形的特点,即在一个周期内,信号的幅值在一段时间内为正,另一段时间内为负,且幅值大小相等。

方波发生器及其调制实验报告

方波发生器及其调制实验报告

方波发生器及其调制实验报告
正弦波发生器是电路中经常使用的元件,它可以用来实现幅度和频率可调的正弦波发生器,具有很多应用。

方波发生器是一种简单的电路,其原理是采用RC电路组成,它可以提供一个离散的模拟电压,主要由正弦波发生器,谐振电路,幅度控制电路和数字信号控制电路组成,能够提供宽度、幅度和频率可调的正弦信号供电子和通信等工程使用。

本实验制作一个具有宽度、幅度调节、频率调节和调制特性的方波发生器,它由正弦波发生器、调制电路和LC谐振电路组成。

实验中先拼接正弦波发生的电路板,首先,按图纸中的PCB布线图连接各个组件,电阻和电容使用符合图中要求的精确值连接组装;然后将拼好的电路板和数字调节器连接组装调节器完成,可以调节频率和幅度。

其次,调制电路使用晶体管两个放大器,在端口P1和P2输出正弦波,作为模拟输入到电路;最后,将电路连接在一个LC谐振元件上以调节频率,用一个调节器调节成振幅,再将输出信号连接到电路板上,完成整个方波发生器的组装。

实验结果表明,通过调节正弦波发生器的幅度、频率和调制参数,可以获得单色多谱线的正弦波,当频率越高和对应的振幅越高时,线形失真更小。

在将作为输入的背景正弦波进行调制后,输出信号也呈现出多谱线的线形,尤其是调制的正弦波振幅越高,调制系数越大时,线形会更加清晰。

通过本次实验,可以使我更好地了解方波发生器的结构及其工作原理,对调节器结构有了较系统的认识,更加深入地了解了调节技术的用途,积累了电路组装、测量、数据分析的经验。

方波 三角波--转换电路实验报告册

方波 三角波--转换电路实验报告册

物理与机电工程学院(2015——2016 学年第二学期)综合设计报告方波三角波转换电路专业:电子信息科学与技术学号:2014216041姓名:张腾指导教师:石玉军方波三角波转换电路摘要:一般方波-三角波发生器要用三只运算故大器,而且要用二极管或双向稳压管等有源器件进行限幅,线路较烦琐。

这里介绍一个实用的方波-三角波发生器。

该电路工作稳定、可靠,而且频率、幅度调节方便。

通过在Multisim10虚拟实验环境中对方波一三角波函数发生器电路的设计,阐述Multisim10在电路仿真设计中的应用过程,实现真正意义上的电子设计自动化(DEA)。

关键字:三角波发生器频率方波二极管稳压管有源器件限幅实用振荡电路积分器1.引言:电子电路邻域中的信号波形,除了正弦波之外另一类就是非正弦波。

非正弦波又称为脉冲波,如方波、矩形波、三角波等都是最常见的脉冲波形,当今是科学技术及仪器设备高度智能化飞速发展的信息社会,电子技术的进步,给人们带来了根本性的转变。

现代电子领域中,单片机的应用正在不断的走向深入,这必将导致传统控制与检测技术的日益革新。

单片机构成的仪器具有高可靠性、高性能价格比,在智能仪表系统和办公自动化等诸多领域得以极为广泛的应用,并走入家庭,从洗衣机、微波炉到音响汽车,处处可见其应用。

因此,单片机技术开发和应用水平已逐步成为一个国家工业发展水平的标志之一。

信号发生器作为一种常见的应用电子仪器设备,传统的一般可以完全由硬件电路搭接而成,如采用555振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。

但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。

在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。

而借用计算机技术和DDS技术直接产生的各种波形频率高,成本高。

2.设计内容和要求:(1).内容:设计一个用集成放大器构成的方波-三角波产生电路,指标要求如下:方波重复频率:500Hz 相对误差<5%;脉冲幅度:6-6.5V三角波重复频率:500Hz 相对误差<5%;脉冲幅度:1.5-2V(2).要求:①根据设计要求和已知条件,确定电路方案,设计并选出各单元电路的原件参数。

定时器构成的占空比可调的方波发生器实验报告

定时器构成的占空比可调的方波发生器实验报告

定时器构成的占空比可调的方波发生器实验报告实验目的:1.理解定时器在电子电路中的作用及原理;2.学会使用定时器构成占空比可调的方波发生器;3.掌握调节占空比的方法和技巧;4.通过实验验证定时器构成的方波发生器的实际性能。

实验器材:1.集成定时器IC(比如NE555或CD4011等);2.陶瓷电容;3.电阻;4.二极管;5.频率计;6.示波器;7.万用表;8.电源供电器;9.连接线等。

实验原理:定时器是一种特殊的集成电路,可以实现各类定时和脉冲调制功能。

定时器通常由电阻、电容和比较器组成,根据输入的控制信号及内部连接方式形成多种功能的输出。

占空比可以用来描述方波的高电平和低电平之间的时间比例关系。

占空比可通过改变定时器的输入电流、电压、电阻和电容等参数来实现。

实验步骤:1.接线部分:根据电路图连接电路。

2.搭建电路:根据电路原理图,将定时器IC、陶瓷电容、电阻、二极管等元件按正确的极性和参数连接在一起。

3.调节电容和电阻:根据需要调整电容的值和电阻的值,以改变方波的频率和占空比。

4.接通电源:将电源连接到电路上,调节电源电压为正常工作电压。

5.测量频率:将频率计连接到方波输出端口,使用频率计测量方波的频率。

6.调节占空比:通过调节电容的值和电阻的值,控制方波的高电平和低电平时间,从而改变占空比。

7.测量输出电压:使用示波器测量方波的高电平和低电平的幅值,记录测量结果。

8.结果分析:根据测量结果,分析电路的性能,并与理论值进行对比。

实验结果及分析:通过实验测得的数据,我们可以绘制出频率和占空比的关系图。

在理论值的基础上,分析实际测量值与理论值之间的偏差。

可能出现的误差及原因有:1.元件参数的偏差:电阻和电容的参数可能存在一定的偏差,导致实际测量值与理论值不完全一致。

2.电源电压的稳定性:电源电压的稳定性对方波的频率和占空比有一定的影响。

3.仪器测量误差:使用的频率计和示波器等测量设备本身可能存在一定的误差。

信号与示波器实验报告

信号与示波器实验报告

信号与示波器实验报告实验目的本实验旨在通过使用示波器测量不同类型的信号,掌握示波器的基本使用方法,了解不同信号波形的特点和测量要点。

实验仪器- 示波器- 正弦波信号发生器- 方波信号发生器- 三角波信号发生器实验步骤与结果第一部分:测量正弦波信号1. 将正弦波信号发生器的输出接入示波器的输入端。

2. 调整示波器的水平控制旋钮,使得波形在示波器屏幕上居中。

3. 调整示波器的垂直控制旋钮,使得波形在示波器屏幕上的显示范围适中。

4. 观察示波器屏幕上的波形,并记录相关参数,如频率、幅度等。

根据实验结果,我们可以发现正弦波信号在示波器屏幕上呈现出光滑连续的波形,且具有明确的周期和幅度。

通过调整示波器的垂直和水平控制旋钮,我们可以对波形进行适当的放大、缩小和平移,以便更清晰地观察和测量。

第二部分:测量方波信号1. 将方波信号发生器的输出接入示波器的输入端。

2. 调整示波器的水平控制旋钮,使得波形在示波器屏幕上居中。

3. 调整示波器的垂直控制旋钮,使得波形在示波器屏幕上的显示范围适中。

4. 观察示波器屏幕上的波形,并记录相关参数,如频率、占空比等。

根据实验结果,我们可以发现方波信号在示波器屏幕上呈现出快速的上升和下降沿,且具有明确的频率和占空比。

通过调整示波器的垂直和水平控制旋钮,我们可以对波形进行适当的放大、缩小和平移,以便更清晰地观察和测量。

第三部分:测量三角波信号1. 将三角波信号发生器的输出接入示波器的输入端。

2. 调整示波器的水平控制旋钮,使得波形在示波器屏幕上居中。

3. 调整示波器的垂直控制旋钮,使得波形在示波器屏幕上的显示范围适中。

4. 观察示波器屏幕上的波形,并记录相关参数,如频率、幅度等。

根据实验结果,我们可以发现三角波信号在示波器屏幕上呈现出逐渐上升然后逐渐下降的波形,且具有明确的频率和幅度。

通过调整示波器的垂直和水平控制旋钮,我们可以对波形进行适当的放大、缩小和平移,以便更清晰地观察和测量。

模拟电子技术实验报告

模拟电子技术实验报告

模拟电子技术基础实验实验报告目录一、共射放大电路二、集成运算放大器三、RC正弦波振荡器四、方波发生器五、多级负反馈放大电路六、有源滤波器七、复合信号发生器一、共射放大电路1.实验目的(1)掌握用Multisim 13仿真软件分析单极放大电路主要性能指标的方法。

(2)熟悉常用电子仪器的使用方法,熟悉基本电子元器件的作用。

(3)学会并熟悉“先静态后动态”的电子线路的基本调试方法。

(4)分析静态工作点对放大器性能的影响,学会调试放大器的静态工作点。

(5)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。

(6)测量放大电路的频率特性。

2.实验器材(1)双路直流稳压电源一台;(2)函数信号发生器一台;(3)示波器一台;(4)毫伏表一台;(5)万用表一台;(6)三极管一个;(7)电阻电位器;(8)模拟电路实验箱;3.实验原理及电路实验电路如下图所示,采用基极固定分压式偏置电路。

电路在接通直流电源Vcc而未加入输入信号(Vi=0)时,三极管三个极电压和电流称为静态工作点。

根据XSC1的显示,按如下方法进行操作:现象出现截止失真出现饱和失真操作减小R7 增大R7当滑动变阻器R7设置为11%时,有最大不失真电压。

静态工作点测量将交流电源置零,用万用表测量静态工作点。

理论估算值实际测量值BQ U CQ U EQ U CEQ UCQ I BQ U CQ U EQ U CEQUCQ I3.98V 6.03V 3.28V 2.75V 2.98m A 3.904V6.253V3.186V3.067V2.873m A1. Q 点过低——信号进入截止区2. Q 点过高——信号进入饱和区二、集成运算放大器1.实验目的(1)加深对集成运算放大器的基本应用电路和性能参数的理解。

(2)了解集成运算放大器的特点,掌握集成运算放大器的正确使用方法和基本应用电路。

(3) 掌握由运算放大器组成的比例、加法、减法、积分和微分等基本运算电路的功能。

信号与系统实验报告7实验七:方波信号的分解与合成实验

信号与系统实验报告7实验七:方波信号的分解与合成实验

信号与系统实验报告7实验七:方波信号的分解与合成实验信号与系统实验报告实验七:方波信号的分解与合成实验一、实验目的1.了解方波的傅里叶变换和频谱特性2.掌握方波信号在时域上进行分解与合成的方法3.掌握方波谐波分量的幅值和相位对信号合成的影响二、实验原理及内容1.信号的傅里叶变化与频谱分析信号的时域特性和频域特性是对信号的两种不同的描述方式。

对于一个时域的周期信号f(t),只要满足狄利克莱条件,就可以展开成傅里叶级数:从式中可以看出,信号f(t)是由直流分量和许多余弦(或正弦)分量组成。

2.方波信号频谱将方波信号展开成傅里叶级数为:此公式说明,方波信号中只含有一、三、五等奇次谐波分量。

并且其各奇次谐波分量的幅值逐渐减小,初相角为零。

3.方波信号的分解方波信号的分解的基本工作原理是采用多个带通滤波器,把它们的中心频率分别调到被测信号的各个频率分量上,当被测信号同时加到多个滤波器上,中心频率与信号所包含的某次谐波分量频率一致的滤波器便有输出。

在被测信号发生的实际时间内可以同时测得信号所包含的各频率分量。

4.信号的合成本实验将分解的1路基波分量和5路谐波分量通过一个加法器,合成为原输入的方波信号。

三、实验步骤本实验在方波信号的分解与合成单元完成。

1.使方波发生器输出频率为100Hz、幅值为4V的方波信号,接入IN端。

2.用示波器同时测量IN端和OUT1端,调节该通路所对应的幅值调节电位器,使该通路输出方波的基波分量,基波分量的幅值为方波信号幅值的4/π倍,频率于方波相同并且没有相位差。

3.用同样的方法分别在OUT3、OUT5、OUT7、OUT9端得到方波的三、五、七、九次谐波分量。

4.完成信号的分解后,分别测量基波与三次谐波,基波、三次谐波与五次谐波,基波、三次谐波、五次谐波与七次谐波,基波、三次谐波、五次谐波、七次谐波与九次谐波合成后的波形。

并完成下表。

基波基波+三次谐波基波+三、五次谐波基波+三、五、七谐波基波+三、五、七、九次谐波四、实验总结由实验可知,周期信号是由一个或几个、乃至无穷多个不同的频率的谐波叠加而成的。

方波发生器实验报告

方波发生器实验报告

方波发生器实验报告方波发生器实验报告引言:方波发生器是电子电路中常见的一种波形发生器,它能够产生方波信号,广泛应用于数字电路、通信系统等领域。

本实验旨在通过搭建方波发生器电路并进行实验验证,深入了解方波发生器的原理和性能。

一、实验原理方波发生器是利用放大器和反馈电路构成的振荡器,通过正反馈使放大器的输出呈现方波信号。

具体原理如下:1. 振荡器基本原理:振荡器是一种能够自激振荡的电路,其输出信号可以持续地在无外部输入的情况下产生。

振荡器的基本组成部分包括放大器、反馈网络和滤波器。

2. 反馈电路原理:反馈电路将放大器的输出信号通过反馈回到放大器的输入端,形成一个正反馈回路。

当反馈电路的增益等于或大于放大器的增益时,系统就会产生自激振荡。

3. 方波信号原理:方波信号是一种周期性的信号,其波形特点是在一个周期内先保持高电平,然后突然跳变为低电平,再突然跳变回高电平。

二、实验材料和仪器1. 实验材料:电阻、电容、二极管、运放等。

2. 实验仪器:示波器、信号发生器、万用表等。

三、实验步骤1. 搭建方波发生器电路:根据方波发生器电路图,按照电路连接原理连接电阻、电容、二极管和运放等元件。

2. 调节电路参数:根据实验要求,选择合适的电阻和电容数值,并调节运放的工作电压和增益等参数。

3. 连接示波器和信号发生器:将示波器和信号发生器分别连接到方波发生器电路的输入和输出端口。

4. 调节信号发生器:通过信号发生器调节输入信号的频率和幅度,观察方波发生器输出的方波信号波形。

5. 测量电路参数:使用万用表等仪器,测量电路中各元件的电压、电流等参数,并记录实验数据。

6. 分析实验结果:根据实验数据和观察到的方波信号波形,分析方波发生器的性能和稳定性。

四、实验结果与分析1. 观察方波信号波形:通过示波器观察到的方波信号波形应呈现出高低电平交替变化的特点,并且跳变较为迅速,边沿陡峭。

2. 测量电路参数:根据测量数据可以得到电路中各元件的电压、电流等数值,进一步分析电路的工作状态和性能。

单片机方波发生器实验报告

单片机方波发生器实验报告

单片机方波发生器实验报告实验报告,单片机方波发生器。

实验目的:本实验旨在通过单片机实现方波发生器电路,了解方波发生器的工作原理,并掌握单片机的IO口控制。

实验器材:1. 单片机(如STC89C52)。

2. 电源。

3. 适配器。

4. 电阻、电容。

5. 示波器。

6. 连接线。

实验原理:方波发生器是一种能够产生方波信号的电路或设备。

在本实验中,我们将通过单片机的IO口控制来实现方波信号的产生。

单片机作为控制核心,通过对IO口的高低电平控制,可以实现方波信号的产生。

通过改变IO口的输出频率和占空比,可以产生不同频率和占空比的方波信号。

实验步骤:1. 连接电路,按照电路图连接单片机、电源、电阻、电容和示波器。

2. 编写程序,使用C语言或汇编语言编写单片机控制程序,配置IO口的输出模式和控制方波的频率和占空比。

3. 烧录程序,将编写好的程序通过编程器烧录到单片机中。

4. 实验验证,连接示波器,观察输出的方波信号的频率和占空比是否符合预期。

实验结果与分析:经过实验验证,我们成功实现了单片机方波发生器电路。

通过改变程序中的参数,我们可以得到不同频率和占空比的方波信号。

通过示波器观察,我们可以清晰地看到产生的方波信号波形,验证了实验的成功。

实验总结:通过本次实验,我们深入了解了方波发生器的原理和单片机的IO口控制。

掌握了单片机方波发生器的设计和实现方法,提高了我们对单片机应用的理解和实践能力。

同时,实验中也加深了我们对方波信号的理解,对信号发生器的应用有了更深入的认识。

以上就是本次单片机方波发生器实验的实验报告,希望能对你有所帮助。

DSP三角波方波锯齿波信号发生器

DSP三角波方波锯齿波信号发生器
七、波形调试方法
2.在CCS软件环境下用时频图演示出来。
三、波形发生器源程序
#include <math.h>
#include <stdio.h>
#define N 1024
#define t 1//修改t可以改频率,频率等于t*N
int outbuffer[N];
int i=0;
char a=1;//1为三角波 2为方波 3为锯齿波
int j,k=0,n=0;
void delay(int z)//延时函数
{
int i,j;
for(i=0;z>i;i++)
{
for(j=0;j<200;j++);
}
}
void main(void)
{
while(1)
{
switch (a)
{
case 1://三角波
for(j=0;j<20;j++)
{
2.方波
3.三角波
五、硬件验证

六、小结
在对设计的信号发生器进行调试时采用了集成开发环境Code Composer Studio(CCS),即对C55xx的C语言程序进行调试。
本次实验以基于DSP的信号发生器完成了三角波、方波、锯齿波输出任意频率的波形任务。但是上述工作尚有许多不成熟、不完善的地方,这就需要今后进一步开展的工作。
outbuffer[i]=k;
i++;k++;
delay(t);
}
for(j=0;j<20;j++)
{
outbuffer[i]=k;

方波发生电路实验报告

方波发生电路实验报告

东南大学电工电子实验中心实验报告课程名称:电工电子实验第1次实验实验名称:波形发生分解与合成院(系):吴健雄学院专业:高等理工班姓名:学号:实验室: 实验组别:同组人员:无实验时间:2013年8月24日评定成绩:审阅教师:一、实验内容要求基本要求:1.设计一个方波发生器,要求其频率为1kHz,幅度为5V;2.设计合适的滤波器,从方波中提取出基波和3次谐波;3.设计一个加法器电路,将基波和3次谐波信号按一定规律相加,将合成后的信号与原始信号比较,分析它们的区别及原因。

提高要求:⏹设计5次谐波滤波器及移相电路,调整各次谐波的幅度和相位,将合成后的信号与原始信号比较,并与基本要求部分作对比,分析它们的区别及原因。

创新要求:⏹用类似方式合成其他周期信号,如三角波、锯齿波等二、实验内容要求1.方波发生器图1:方波发生电路图1中的方波发生电路,利用迟滞比较器基础上,把输出电压经电阻电容反馈到集成运放的反相端,然后在运放的输出端使用两个稳压管组成的双向限幅电路,得到较理想的1kHz方波。

2.滤波器设计滤波器主要使用软件FilerPro,采用贝塞尔三级滤波结构,提取基波、三次谐波、五次谐波的设计电路如图2,图3,图4所示。

图2:提取基波的滤波器设计图3:提取3次谐波的滤波器设计图4:提取5次谐波的滤波器设计3. 移项电路设计按照要求应该是将分离的基波、三次谐波和五次谐波用加法器相加,但是由于在滤波的过程中对原来的波形可能会有相位的偏差,因此在相加之前需要对他们进行移项。

移项电路有以下两种选择。

图5:3311out in U j CR U j CR ωω-=+图6:3311out in U j CR U j CR ωω-+=+ 通过调节电路的参数可以进行相位的具体调节。

4. 加法电路设计在通过移项电路将各个波形相位调节一致之后,通过简单的反相加法器就能得到最后的合成信号图7:反相加法器三、模拟电路调试a)方波发生器模拟得到的方波幅值较低,我们计划在具体搭试时加一级放大器,将其放大至设计要求的5V。

实验二 示波器和信号发生器的使用.

实验二  示波器和信号发生器的使用.

实验二示波器和信号发生器的使用一、实验目的1、学习示波器的基本使用方法;2、学习信号发生器的基本使用方法。

二、实验仪器1、模拟示波器一台;2、模拟电路实验箱一台。

三、实验要求1、小心操作、爱护仪器;2、仔细体会各项操作,理解各项操作的作用。

四、实验内容图5-1 示波器面板图1、示波器使用练习(测量校准信号的波形、周期、峰峰值电压。

1按下电源开关(POWER键,示波器上电。

等待几秒钟,使示波器完成初始化。

2按自动手动切换键,使“ATO”灯亮。

3按通道1选择键(CH1键,使“CH1”灯亮。

4按通道2选择键(CH2键,使“CH2”灯灭。

5调节亮度旋钮(INTEN旋钮,使扫描线的亮度适当。

6调节聚焦旋钮(FOCUS旋钮,使扫描线成清晰的细实线。

7调节水平位置旋钮(HORIZONTAL区的POSITION旋钮,使扫描线左右居中。

8按通道1的输入接地键(VERTICAL区CH1的GND键,使屏幕左下角显示接地符号“”。

9调节通道1垂直位置旋钮(VERTICAL区CH1的POSITION旋钮,使扫描线上下居中。

10再按通道1的输入接地键(VERTICAL区CH1的GND键,使屏幕左下角的接地符号“”消失。

11按通道1的交/直流耦合选择键(VERTICAL区CH1的AC/DC键,使屏幕左下角显示直流耦合符号“”。

12按触发源选择键(TRIGGER区的SOURCE键,使屏幕右下角显示通道1符号“CH1”。

13按触发耦合选择键(TRIGGER区的COUPLING键, 使屏幕右下角显示交流耦合符号“AC”。

14将输入信号线插如通道1插座。

15将探头勾在CAL(校准信号端子上。

16调节通道1的垂直灵敏度旋钮(VOLTS/DIV旋钮,使显示信号的峰与峰之间为一格(1cm。

17调节触发电平旋钮(TRIGGER区的LEVEL旋钮,使波形显示稳定(TRG灯亮。

18调节时基旋钮(HORIZONTAL区的TIME/DIV旋钮,使屏幕显示波形的2~3个周期。

方波发生电路实验报告

方波发生电路实验报告

方波发生电路实验报告电路实验报告:方波发生电路一、实验目的:1. 掌握方波发生电路的工作原理;2. 通过实验测量方波频率、占空比等参数。

二、实验仪器与材料:1. 函数发生器;2. 示波器;3. 电阻、电容、二极管等元件;4. 电路连接线。

三、实验原理:方波发生电路是一种基于RC电路和非线性元件(如二极管)的电路,用于产生频率固定、占空比可调的方波信号。

基本原理如下:RC电路的充放电过程时间常数τ=RC,具有指数增长和衰减的特性。

当RC电路接通电源时,电容开始充电,指数增长至某一阈值,电路将反转电流方向,电容开始放电,指数衰减至某一阈值,随后电路再次反转电流方向,周而复始。

将二极管接在RC电路的输出端,二极管在充电过程中导通,放电过程中截止,将RC电路的连续曲线削平,得到方波波形。

四、实验步骤:1. 按照电路图将电路连接好,保证电源和电路接线正确可靠;2. 将示波器的探头分别连接在RC电路的输入端和二极管接地侧,调整示波器的扫频范围;3. 调整函数发生器的频率和占空比,观察示波器上的方波信号波形;4. 测量并记录函数发生器的频率和占空比。

五、实验结果与分析:1. 在不同频率和占空比设置下,观察到了相应的方波输出;2. 测量得到的频率和占空比数据如下:- 频率:100Hz- 占空比:50%六、结果讨论:1. 频率与电阻、电容值有关,可通过改变电阻和电容值调整频率;2. 占空比与二极管导通时间和截止时间有关,可通过改变电容和二极管特性调整占空比;3. 实验结果与理论值有一定偏差,可能是由于电路元件的实际参数与理论值不完全一致,以及示波器的测量误差等原因。

七、实验总结:通过本次实验,我们掌握了方波发生电路的工作原理,了解了RC电路和非线性元件的作用,能够使用函数发生器和示波器进行方波信号的测量和观察,并对频率和占空比进行调整。

在实际操作中,需要注意电路连接的可靠性和准确性,同时还需要根据实际情况选择合适的电阻、电容和二极管等元件。

DAC0832波形发生器课程设计实验报告1

DAC0832波形发生器课程设计实验报告1

微机原理与接口技术课程设计报告书题目:DAC0832 波形发生器学院名称:湖南科技大学潇湘学院班级:电子信息工程001班指导老师:欧青立陈君宋芳学号: 0954030110姓名:赵翔目录一、引言 (1)二、设计目的 (2)三、原理说明 (2)四、硬件设计 (4)五、设计原理 (6)六、程序编译 (7)1、输出方波子程序 (7)2、输出三角波子程序 (8)3、输出锯齿波子程序 (8)4、输出正弦波子程序 (9)5、输出梯形波的子程序 (10)6、主程序 (11)七、调试方法与结果 (15)八、心得体会 (16)一.引言波形发生器是一种常用的信号源,广泛的应用于电子电路、自动控制系统和教学实验等领域,是现代测试领域内应用最为广泛的通用仪器之一。

在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要有信号源。

由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察。

测量被测仪器的输出响应,以分析确定它们的性能参数。

信号发生器是电子测量领域中最基本、应用最为广泛的一类电子仪器。

它可以产生多种波形信号,如锯齿波、三角波、梯形波等,因而广泛应用于通信、雷达、导航、宇航等领域。

本次课程设计使用的AT89C51单片机构成的发生器可产生三角波,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。

此设计给出了源代码,通过仿真测试,其性能指标达到了设计要求。

二、设计目的1、掌握DAC0832与PC机的接口方法。

2、掌握D/A转换应用程序设计方法。

三、原理说明◆知识简介:DAC0832当今世界在以电子信是8位分辨率的D/A转换集成芯片,与微处理器完全兼容,这个系列的芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到了广泛的应用。

这类D/A转换器由8位输入锁存器,8位DAC寄存器,8位DA转换电路及转换控制电路构成。

◆原理框图:◆硬件设计1、DAC0832的引脚及功能:DAC0832是8分辨率的D/A转换集成芯片。

函数信号发生器设计实验报告

函数信号发生器设计实验报告

函数信号发生器的设计实验报告院系:电子工程学院班级:2012211209**:***班内序号:学号:实验目的:设计一个设计制作一个可输出方波、三角波、正弦波信号的函数信号发生器。

1,输出频率能在1—10KHz范围内连续可调,无明显失真;2,方波输出电压Uopp = 12V,上升、下降沿小于10us(误差<20%);3,三角波Uopp = 8V(误差<20%);4,正弦波Uopp≥1V。

设计思路:1,原理框图:2,系统的组成框图:分块电路和总体电路的设计:函数发生器是指能自动产生方波、三角波和正弦波的电压波形的电路或者仪器。

电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。

根据用途不同,有产生三种或多种波形的函数发生器,本课题采用由集成运算放大器与晶体差分管放大器共同组成的方波—三角波、三角波—正弦波函数发生器的方法。

本课题中函数信号发生器电路组成如下:第一个电路是由比较器和积分器组成方波—三角波产生电路。

单限比较器输出的方波经积分器得到三角波;第二个电路是由差分放大器组成的三角波—正弦波变换电路。

差分放大器的特点:工作点稳定,输入阻抗高,抗干扰能力较强等。

特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波波形变换的原理是利用差分放大器的传输特性曲线的非线性。

传输特性曲线越对称,线性区域越窄越好;三角波的幅度Uim应正好使晶体接近饱和区域或者截至区域。

Ⅰ、方波—三角波产生电路设计方波输出幅度由稳压管的稳压值决定,即限制在(Uz+UD)之间。

方波经积分得到三角波,幅度为Uo2m=±(Uz+UD)方波和三角波的震荡频率相同,为f=1/T=āRf/4R1R2C,式中ā为电位器RW 的滑动比(即滑动头对地电阻与电位器总电阻之比)。

即调节RW可改变振荡频率。

根据两个运放的转换速率的比较,在产生方波的时候选用转换速率快的LM318,这样保证生成的方波上下长短一致,用LM741则会不均匀。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

方波发生器及其调制
一、实验内容
设计一方波信号发生器,采用ROM进行一个周期数据存储,并通过地址发生器产生方波信号。

并通过控制端输入a对方波信号进行调幅和调频。

ROM(4位地址16位数据)
二、实验原理
方波信号发生器是由地址发生器和方波数据存储器ROM两块构成,输入为时钟脉冲,输出为8位二进制。

1地址发生器的原理
地址发生器实质上就是计数器,ROM 的地址是4位数据,相当于16位循环计数器。

2.只读存储器ROM 的设计
(1)、VHDL 编程的实现
①基本原理:为每一个存储单元编写一个地址,只有地址指定的存储单元才能与公共的I/O 相连,然后进行存储数据的读写操作。

②逻辑功能:地址信号的选择下,从指定存储单元中读取相应数据。

3.调幅与调频
通过输入信号a(3位数据),选择不同调制,如
a=000,2分频
a=001,4分频
a=010,8分频
a=011,16分频
a=100,2倍调幅
a=101,4倍调幅
a=110,8倍调幅
a=111,16倍调幅
分频原理:偶数分频,即分频系数N=2n (n=1,2,…),若输入的信号频率为f ,那么分频器的输出信号的频率为f/2n(n=1,2,…)。

调幅原理:通过移位寄存器改变方波幅值(左移)。

三、 设计方案
1. 基于VHDL 编程的设计
在地址信号的选择下,从指定存储单元中读取相应数据 ,系统框图如下:
FPGA
四、 原理图
1、VHDL 编程的实现
地址发生器
方波数据存储ROM 分频和调幅
(1)、顶层原理图
(2)、地址发生器的VHDL语言的实现library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity addr_count is
port
(
clk1khz: in std_logic;
qout: out integer range 0 to 15
);
end addr_count;
architecture behave of addr_count is signal temp: integer range 0 to 15;
begin
process(clk1khz)
begin
if(clk1khz'event and clk1khz='1') then
if(temp=15) then
temp<=0;
else
temp<=temp+1;
end if;
end if;
qout<=temp;
end process;
end behave;
(3)ROM的VHDL语言的实现
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity rom is
port
(
addr:in std_logic_vector(3 downto 0);
en:in std_logic;
qout:out std_logic_vector(7 downto 0)
);
end rom;
architecture behave of rom is
type memory is array(0 to 15) of std_logic_vector(7 downto 0);
constant
rom:memory:=("00000000","00000000","00000000","00000000","00000000","00000000","0000 0000","00000000",
"00000010","00000010","00000010","00000010","00000010","00000010","00000010","0000001 0");
begin
process(en,addr)
variable temp:integer range 0 to 15;
begin
if(en='1')then
temp:=conv_integer(addr);
qout<=rom(temp);
else
qout<=(others=>'Z');
end if;
end process;
end behave;
(4)调幅与调频程序
entity tiaozhi is
port(
data:in std_logic_vector(7 downto 0);
a:in std_logic_vector(2 downto 0);
clk:in std_logic;
sl_in :in std_logic;
clk1:out std_logic;
qout:out std_logic_vector(7 downto 0)
);
end tiaozhi;
architecture behave of tiaozhi is
signal count:std_logic_vector(15 downto 0); signal q1:std_logic_vector(7 downto 0); signal q2:std_logic_vector(7 downto 0); signal q3:std_logic_vector(7 downto 0); signal q4:std_logic_vector(7 downto 0); begin
process(clk,count,a,q1,q2,q3,q4)
begin
if(clk'event and clk='1') then
count<=count+1;
q1<=data(6 downto 0)& sl_in;
q2<=q1(6 downto 0) & sl_in;
q3<=q2(6 downto 0) & sl_in;
q4<=q3(6 downto 0) & sl_in;
end if;
end process;
process (a)
begin
case a is
when "000"=> clk1<=count(1);
when "001"=> clk1<=count(2);
when "010"=> clk1<=count(3);
when "011"=> clk1<=count(4);
when "100"=> qout<=q1;
when "101"=> qout<=q2;
when "110"=> qout<=q3;
when "111"=> qout<=q4;
end case;
end process;
end behave;
(5)仿真结果如下产生的方波信号
当控制端输入为100时,2倍调幅
当控制端输入为111时,16倍调幅
当控制端输入为001时,4分频
当控制端输入为011时,16分频
五设计总结
1. 评估
在这次EDA实验设计中,我们完成的是一个方波信号发生器的设计,在这次设计中,让我们了解到了ROM,地址发生器,分频和调幅的设计方法,进一步掌握了Quartus‖的常见使用方法,并掌握了方波信号发生器的设计原理,对我们来说,此次实验的收获在于数据存储器ROM的设计,我们相信,这对于以后的实验将会有很大的帮助。

此次的实验还算成功,从电路模块的设计到电路的仿真成功能独立完成,这是对自己的一次很大的鼓励,我们相信,以后的课程将会学的更好。

2.
问题:不能对信号clk进行调幅,要重新产生一个方波信号才能调幅
解决:通过循环计数器产生多电平方波,再对其进行移位,得到调幅信号。

相关文档
最新文档