模拟电子技术大作业
大作业格式要求
电子技术与项目训练Ⅱ期末大作业要求1、选题安排根据给定题目实行自由选题,每人1题。
大作业完成流程:查阅有关文献资料→拟定电路原型→依据性能指标,进行参数计算,确定电路元器件参数→画出原理电路→仿真分析验证设计方案、调整有关电路参数→完成大作业文字报告。
2、时间安排:选题结束后,自己安排时间进行课题资料查阅、原理方案设计和参数计算,然后自行安排时间对单元单路进行软件仿真(可以利用自己的PC机或利用实验室开放时间段到实验室进行仿真实验),最后,撰写大作业总结报告并提交在规定时间交给老师。
3、成绩评定:交大作业时进行1-3分钟简单答辩,根据大作业完成情况、答辩情况及平时表现进行成绩评定。
4、大作业要求编写设计性的课程大作业总结报告是对学生写科学论文和科研总结报告的能力训练。
通过写总结报告,不仅将设计、组装、调试的内容进行全面总结,而且可以将实践内容上升到理论高度。
总结报告应包括以下内容:(1)课题名称;(2)摘要、关键词;(3)设计内容及要求;(4)系统方案设计,分析比较各种方案的优缺点,画出系统框图;(5)单元电路设计、参数计算和器件选择;(6)画出完整的电路图,并说明电路的工作原理;(7)组装调试部分:拟定电路的调试方法及调试中出现的故障、原因及排除方法;(8)总结设计电路的特点,并提出改进意见;(9)收获和体会;(10)列出参考文献(格式参考任意一本公开出版教材的参考文献格式,至少列5个);(11)附录:列出系统需要的元器件清单。
书写要求:采用A4纸单面书写,要求字迹工整、图表规范、文字表述清楚、逻辑性强,图要有图号、图名,表要有表号、表名,字数要求4千字以上(除参考文献、附录至少5页)下页附封皮《电子技术与项目训练Ⅱ》期末大作业大作业名称:专业:班级:姓名:学号:二零一四年元月一种××××××××电路的设计摘要:(对所设计电路作简要说明,至少100字)关键词:(3—5个)1.设计内容及要求(根据题目自行组织)2.系统方案设计3.单元电路设计、参数计算和器件选择4.整体电路设计及原理分析(注:做必要文字阐述,画出完整的电路图并说明电路的工作原理;)5.电路组装调试6.设计电路的特点总结及改进意见7.收获和体会参考文献:(格式参考任意一本公开出版教材的参考文献格式,至少列5个)附录:。
对分课堂模式在《模拟电子技术》课程教学中的探索
对分课堂模式在《模拟电子技术》课程教学中的探索作者:田莉,陶彩霞,章宝歌来源:《教育教学论坛》 2018年第30期摘要:本文针对目前《模拟电子技术》课程教学中存在的问题,将对分课堂教学模式引入《模拟电子技术》课程教学,以各种单元放大电路为例对教学的各个环节进行了设计和研究,教学实践表明该教学方法调动了学生的学习积极性,提高了学生的自学能力和创新实践能力。
关键词:模拟电子技术;对分课堂;教学改革中图分类号:G642.0 文献标志码:A 文章编号:1674-9324(2018)30-0186-02一、引言《模拟电子技术》是电学类专业一门重要的专业基础课,具有很强的理论性、实践性和应用性。
课程的基本任务是使学生掌握电子技术方面的基本概念、基本原理和基本分析方,培养学生的创新实践能力。
然而传统的教学模式注重理论知识的传授,忽略了学生创新实践能力的培养。
传统的教学方法以教师讲授为主,学生的学习是一个被迫接受的过程,学生只会知其然,不知其所以然,不能进行主动探索,学习积极性不高。
讨论课堂强调学生先学,后讨论,然而一些学生并不能很好地自主学习,长期以来,学生习惯于亦步亦趋地跟随着教师走,没有强烈的意志支配自己,更难以谈到创造性地超越自我。
而且《模拟电子技术》这门课是学生首次接触非线性元件,理论抽象,自主学习困难,学生的学习热情就会急剧下降,出现知识掌握不牢固的现象。
这样讨论交流的时候就会无从下手。
“对分课堂”是复旦大学心理系教授张学新2014年深入分析了传统课堂与讨论式课堂的优势和弊端,融合二者的优点提出的。
二、对分课堂简介对分课堂是指课堂的一半时间分给教师进行讲授,另一半的时间分配给学生。
对分课堂教师讲授在先,学生学习在后,讲授和讨论错开,让学生中间有一定的时间自主安排学习,进行个性化的内化吸收。
对分课堂把教学刻画为在时间上清晰分离的三个过程,分别为讲授(Presentation)、内化吸收(Assimilation)和讨论(Discussion),简称PAD课堂。
二阶压控低通滤波器
Harbin Institute of Technology模电课程大作业(二)设计题目:二阶压控型低通滤波器设计与仿真院系:班级:设计者:学号:设计时间:2012.6.28二阶压控型低通滤波器的设计与仿真摘要:低通滤波器是一种典型的选频电路。
本文详细介绍二阶压控电压源低通滤波器的设计方法,给出了其通用电路图。
在给定的频段内,理论上它能让信号无衰减地通过电路,这一段称为通带,通带外的其他信号将受到很大的衰减,具有很大衰减的频段称为阻带,通带与阻带的交界频率称为截止频率。
本设计用Multisim12对其进行仿真观察,得出实验结论.关键词:二阶压控 低通滤波器 频率特性设计题目及要求设计一个二阶压控型低通滤波器,要求通带增益为2,截止频率为2KHz ,可以选择0.01μF 电容器,阻值尽量接近实际计算值。
电路设计完成后,画出频率响应曲线,并采用Multisim 然间进行仿真。
一、 二阶压控低通滤波器电路的设计(1)求出电路相关数据已知通带截止频率的2KHz ,即f=R2R 1,f=12ΠRC =2KHz ,而电容值题目要求取0.01uF(即10nF ),故可以求出;原理图中电阻R3=R4=7.9577K Ω≈8K Ω;又通带增益为Aup=2,电路采取的是同相输入,则Aup=1+R f R 1 =1+R 2R 1=2,故R1=R2,为使集成运放两个输入端对地的电阻平衡,应使R1//R2=2R=16k Ω,则R1=R2=32 k Ω,根据元件库可选R1=R2=32k Ω。
(2)电路中使用741运放,并用正负12V 直流电源供电。
交流电压源发出幅值为1V 的正弦波,两个8k Ω的电阻R1、R2及两个10nF 的电容C1、C2构成低通环节。
R3、R4构成放大环节,即构成二阶压控低通滤器。
(3)二阶压控电压源低通滤波器(LPF )的幅频特性Q=13-Au p=13-2=1,所以Q=1的曲线即为此二阶压控电压源低通滤波器(LPF)的幅频特性。
数电大作业
子技术》大作业电子技术基础是一门实践性很强的课程。
数字电路大作业是在学完本门课程后,对所学知识的综合性考察。
大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。
希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。
【理论设计要求】1.每3人一组,完成其中一个题目。
每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。
2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。
3.不能使用单片机实现。
4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。
【实物制作要求】1.制作出一个实用的电子电路,具体不限,能成功演示其功能。
2.一人或者两人一题。
3.写出简单的设计说明,并于网上提交。
4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。
【报告内容】1. 目录2. 设计目的及要求工作原理、系统方框图4. 各部分选定方案及电路组成、相关器件说明5. 调试过程(如果没做实物,可免)6. 设计结论7 设计心得与总结(要具体落实到小组各成员)8. 参考文献9. 附录附录一:元器件清单附录二:总体设计图附录三:仿真结果(可无)附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的)【理论设计题目】NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。
NO.2 循环彩灯电路NO.3设计一个多路抢答器,可供8名选手进行抢答;主持人可清零系统和宣布抢答开始;主持人可设定抢答时间进行定时抢答,并显示选手的编号及音响提示。
NO.4 用555芯片设计三种警笛发音电路:警车、消防车和救护车,频率和持续时间自定义,以接近实用警笛唯优。
NO.5 设计一数字时钟电路,要求24小时制,有时分校准功能。
西安电子科技大学2020秋-模拟电子技术基础(大作业)答案
学习中心/函授站_姓名学号西安电子科技大学网络与继续教育学院2020 学年下学期《模拟电子技术基础》期末考试试题考试说明:1、大作业试题于2020 年10 月15 日公布:(1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷;(2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷;(3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整;2、考试必须独立完成,如发现抄袭、雷同均按零分计;3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写完成,要求字迹工整、卷面干净。
一、填空题(每空2.5 分,共25 分)1、N 型半导体是本征半导体加入 5 价原子的杂质半导体。
2、稳压二极管利用PN 结的击穿区具有稳定电压的特性工作。
3、多级放大电路各级之间的连接称为耦合。
4、两级放大电路的第一级电压放大倍数为100,即电压增益为40 dB,第二级电压增益为10dB,则两级总电压增益为 50 dB。
5、差动放大器主要是利用电路结构的对称性特性来减少零点漂移的。
6、电压负反馈可使放大器输出电阻减小。
7、在放大电路中为了增加输入电阻应引入串联负反馈。
8、两级放大电路,A u1=-20,A u2=-50,总放大倍数为 1000,若输入电压U i=1mV,则输出电压U o为1V。
二、单项选择题(每小题2 分,共20 分)1、N 型半导体的电子浓度 A 空穴浓度。
A.大于B.小于C.等于D.无法确定2、既有电压增益,又有电流增益的放大器是 C 。
A.共基极B.共集电极C.共发射极D.说不清楚3、工作于放大区的三极管,当I B从10μA 增加到20μA 时,I C从1mA 变成2mA,则它的β 约为 A 。
VA .50B .100C .200D .20 4、场效应管属于 A 控制型器件。
A .电压 B .电流 C .电感 D .电容 5、两个 β 相同的晶体管组成复合管后,其电流放大系数约为 B 。
四位二进制数可控加减法 上海交通大学电子技术实验大作业
《四位二进制数可控加减法》实验报告实验名称: 四位二进制数可控加减法姓名:学号:班级:目录一、实验方案 (3)二、设计思路................................................................................ 错误!未定义书签。
三、程序代码................................................................................ 错误!未定义书签。
四、调试问题 (6)五、心得感想 (7)一、实验方案1)基本功能实现两个四位二进制数的加减法运算,能够在led灯和数码管显示出结果。
2)清零功能利用一个微动开关,当微动开关按下时结果清零显示。
3)数码管显示将结果转换为七段显示器显示。
将运算结果输送到数码管中。
利用到人的视觉误差和短暂延时显示四位运算结果。
4)溢出问题若有溢出,则数码管显示“E”。
二、设计思路基本功能中分为连个模块,主模块用来运算加减法以及记录溢出和结果,子模块用来进行七段数码管的显示。
扩展功能中数码管显示要利用暂留现象,因此利用时钟clk来进行设计。
三、程序代码module show_sub(input [1:0]num,output reg [6:0] a_to_g );always @(*)case(num)2'b00: a_to_g=7'b1000000;2'b01: a_to_g=7'b1111001;2'b10: a_to_g=7'b1111111;2'b11: a_to_g=7'b0000110;default: a_to_g=7'b0000110;endcaseendmodulemodule show_top(input clk,clr,input wire [7:0] sw,input plus,sub,output wire [6:0] a_to_g,output reg [3:0] an,output reg [3:0] led );reg [15:0] clk_cnt;wire [1:0]s;reg [3:0] result; //运算结果reg [1:0] res;reg flag; //溢出标志wire [3:0] data1;wire [3:0] data2;assign data1=sw[7:4];assign data2=sw[3:0];assign s=clk_cnt[15:14];always @(posedge clk)beginclk_cnt=clk_cnt+1;endalways@(posedge plus or posedge sub or posedge clr)。
《模拟电子技术》课程OBE教学方法
《模拟电子技术》课程OBE教学方法摘要:在工程类专业认证的视域下,针对高校工程类专业核心课程教学设计与人才培养目标脱节等多种问题,基于OBE(Outcome Based Education)工程教育理念,以成果导向为出发点,对核心课程的教学进程进行改革与设计。
以电子类相关专业的专业核心课模拟电子技术为例,分别对学情分析,课程目标,课程教学改革思路,课程改革特色与推广价值等方面进行阐述,形成一套符合工程认证标准、与人才培养目标对应的新的课程教学设计方法。
关键词:OBE;工程类;核心课程;教学改革1学情分析与课程目标针对应用型本科院校工程类人才培养的特点,基于OBE理念,对标人才培养目标中的知识、能力、素质全方位育人原则,以立德树人为本,以成果导向为前提,精选教学内容。
以线上线下混合式教学模式,代替原有单一线下面授或单一线上MOOC形式。
线上按知识点精讲,线下案例式串讲,以虚拟仿真形式在线下课程演示典型电路。
为实现工程类人才的实践能力提升,以成果导向为思路,课堂教学与企业案例、科研、学科竞赛相结合,提高课堂教学的鲜活性和生动性,同时体现知识的应用性。
激发学生学习的主动性和积极性,逐步养成自主学习习惯。
经过课程学习后,学生可以达到应用理论知识,分析产品电路功能;设计满足需求的功能电路的能力,按照需求,制定设计方案,选择合适的器件和单元电路,搭建、测试、调试出满足需求的完整功能电路。
课程目标紧扣培养目标,以学生学习效果(即成果)为导向,进行反向教学设计。
2课程教学改革思路2.1课程教学改革重点解决的问题本课程为专业核心课,内容逻辑性强,难度大,入门难,学生畏难情绪大。
本门课程隶属于应用型专业课程体系,课程目标中对于人才培养的要求,注重理论结合实际能力、主动学习思考能力的培养,及自主电路设计思维的形成,而以从前的单纯线下讲授的教学方式,教学效果差,学生能力提升不明显。
线下教学资源中的教材部分,无思政内容融入,重难知识点无视频、仿真等信息化手段辅助,不符合现阶段学生的认知习惯。
江苏开放大学《电工电子技术》第一次第二次第三次第四次作业及大作业
01-10 BACC CCBA BB11.线相等于12.相量复阻抗复数13.相同正交超前正交滞后14.同频率不同15.最大值频率初相16.有效值频率初相17.KCL KVL 支路电流18.电压电流电流电压19.关联20.电路电源负载中间环节江苏开放大学《电工电子技术》第二次作业答案01-10 CCDB ABAB AC11.极对数电源频率改变转差率12.直接降压13.短时小电流14.过载阻断三相电流不平衡运行15.电磁系统触电系统灭弧系统16.短路17.旋转磁场定子铁芯定子绕组机座18.相序旋转磁场电子电流相序19.铁芯线圈20.电压电流阻抗江苏开放大学《电工电子技术》第三次作业答案01-10 BAAB CCBD CB11.变大变大下降12.电容电感13.变压器变压器滤波稳压14.线性非线性线性非线性15.输入级中间级输出级偏置电路16.直接大高低强17.零点漂移温度漂移差动放大18.电流串联负反馈电流并联负反馈电压串联负反馈电压并联负反馈19.基级集电级20.特殊二级管反击穿01-10 BCAB BADA AB11.施密特触发器多协振荡器 2 单稳态触发器 112.储存数据或代码移位13.同步14.输入逻辑变量电路原来的状态15.0 116.1 017.不变 118.基本触发器同步触发器边沿触发器19.与非或非异或江苏开放大学《电工电子技术》大作业答案01-10 BABA BCBA DA11.关联12.KCL KVL 支路电流13.最大值角频初相14.相量复阻抗复数15.电压电流阻抗16.短路17.电磁系统触点系统灭弧系统18.直接降压19.特殊的二极管反向击穿20.基极集电极21.串联电压负反馈并联电压负反馈串联电流负反馈并联电流负反馈22.输入级中间级输出级偏置电路23.与非或非异或24.同步25.不变 126.基尔霍夫电流定律的内容是:在某个一瞬间流入节点的电流之和等于流出该节点的电流之和。
模拟电子电路及技术基础(第三版)
作者简介
孙肖子,女,西安电子科技大学退休教授,原国家级电工电子教学基地主任,致力于教材建设和教学改 革。
赵建勋,男,西安电子科技大学电子工程学院教授、硕士生导师,研究方向:计算电磁学、射频电路系统、 微波辐射与测量系统、神经元网络形态和功能实现机理。
王新怀,男,理学博士,西安电子科技大学电子工程学院教授、博士生导师、硕士生导师,研究方向:微波 毫米波电路与系统设计、智能天线与天线组阵技术研究、基于FPGA&DSP的实时信号处理系统设计。
模拟电子电路及技术基础(第 三版)
2017年西安电子科技大学出版社出版 的图书
01 成书过程
03 教材目录 05 作者简介
目录
02 内容简介 04 教学资源
《模拟电子电路及技术基础(第三版)》是由孙肖子主编,西安电子科技大学出版社于2017年3月出版的普 通高等教育“十一五”国家级规划教材。该书可作为高等学校通信工程、电子信息工程、电气与自动化工程、测 控技术与仪器、生物医学工程、微电子、电子科学与技术等有关专业的本科生或专科生“电子线路基础”“电子 技术基础”等课程的教材或教学参考书,也可作为工程技术人员的参考书。
2017年3月,《模拟电子电路及技术基础(第三版)》由西安电子科技大学出版社出版发行。
内容简介
该教材分为十三章,内容包括:绪论、集成运算放大器的基本应用电路、基于集成运放和RC反馈网络的有源 滤波器、常用半导体器件原理及特性、双极型晶体三极管和场效应管放大器基础、集成运算放大器内部电路、放 大器的频率响应、反馈、特殊用途的集成运算放大器及其应用、集成运算放大器的非线性应用、低频功率放大电 路、电源及电源管理、模拟电路系统设计及实验案例。书后的两个附录给出了部分习题答案和专用名词汉英对照 表。
哈工大数电大作业
哈工大数电大作业————————————————————————————————作者:————————————————————————————————日期:H a r b i n I n s t i t u t e o f T e c h n o l o g y数字电子技术基础大作业课程名称:数字电子技术基础设计题目:血型与状态机院系:班级:设计者:学号:哈尔滨工业大学血型逻辑电路设计一实验目的1.掌握采用可编程逻辑器件实现数字电路与系统的方法。
2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。
3.学会设计血型能否输血的数字电路。
4.掌握Verilog HDL描述数字逻辑电路与系统的方法。
二设计要求1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。
2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。
三电路图1.电路模块图(简化)应用:2.内部电路组成(简化)四 编程1.源程序module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi);input N;input P;input Q;input clk;output E;output[3:0] F;output[3:0] G;output[7:0] OUT;output[3:0] CTL;reg E;reg[3:0] F;reg[3:0] G;reg[7:0] OUT;reg[7:0] OUT1;reg[7:0] OUT2;reg[7:0] OUT3;reg[7:0] OUT4;reg[3:0] CTL=4'b1110;output bi;reg bi;integer clk_cnt;reg clk_400Hz;always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000)beginclk_cnt <= 1'b0;clk_400Hz <= ~clk_400Hz;endelseclk_cnt <= clk_cnt + 1'b1;//位控制reg clk_1Hz;integer clk_1Hz_cnt; //1Hz发声信号always @(posedge clk)if(clk_1Hz_cnt==32'd2*******-1)beginclk_1Hz_cnt <= 1'b0;clk_1Hz <= ~clk_1Hz;endelseclk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz)CTL <= {CTL[2:0],CTL[3]};//段控制always @(CTL)OUT=OUT1;4'b1011:OUT=OUT2;4'b1101:OUT=OUT3;4'b1110:OUT=OUT4;default:OUT=4'hf;endcasealways @(M or N or P or Q)beginE=(P&Q)|(~M&~N)|(~M&Q)|(~N&P); //选择能否输血case(E)1:beginOUT1=8'b10001001;OUT2=8'b01100001;OUT3=8'b01001001;OUT4=8'b11111111;bi=clk_400Hz;end0:beginOUT1=8'b00010011;OUT2=8'b00000011;OUT3=8'b11111111;OUT4=8'b11111111;bi=clk_1Hz;endendcaseendalways @(M or N or P or Q) //显示输入输出血型beginif(M==1&&N==0)F=4'b1000;else if(M==0&&N==1)F=4'b0100;else if(M==1&&N==1)F=4'b0010;elseF=4'b0001;endalways @(M or N or P or Q) //显示输入输出血型beginelse if(P==0&&Q==1)G=4'b0100;else if(P==1&&Q==1)G=4'b0010;elseG=4'b0001;endendmodule2.管脚定义程序NET "M" LOC=N3;NET "N" LOC=E2;NET "P" LOC=L3;NET "Q" LOC=P11;NET "E" LOC=B2;NET "OUT[7]" LOC = L14;NET "OUT[6]" LOC = H12;NET "OUT[5]" LOC = N14;NET "OUT[4]" LOC = N11;NET "OUT[3]" LOC = P12;NET "OUT[2]" LOC = L13;NET "OUT[1]" LOC = M12;NET "OUT[0]" LOC = N13;NET "CTL[3]" LOC = K14;NET "CTL[2]" LOC = M13;NET "CTL[1]" LOC = J12;NET "CTL[0]" LOC = F12;NET "clk" LOC=B8;NET "F[3]" LOC=G1;NET "F[2]" LOC=P4;NET "F[1]" LOC=N4;NET "F[0]" LOC=N5;NET "G[3]" LOC=P6;NET "G[2]" LOC=P7;NET "G[1]" LOC=M11;NET "G[0]" LOC=M5;NET "bi" LOC=B6;五仿真图六下载设计到BASYS2开发板与实物图实物图:附:程序流程:1.基本电路设计表示O型。
Multisim数字电路仿真实验报告
低频电子线路实验报告—基于Multisim的电子仿真设计班级:卓越(通信)091班姓名:杨宝宝学号:6100209170辅导教师:陈素华徐晓玲学生姓名:杨宝宝学号:6100209170 专业班级:卓越(通信)091班实验类型:□验证□综合□设计□创新实验日期:实验成绩:实验一基于Multisim数字电路仿真实验一、实验目的1.掌握虚拟仪器库中关于测试数字电路仪器的使用方法,入网数字信号发生器和逻辑分析仪的使用。
2.进一步了解Multisim仿真软件基本操作和分析方法。
二、实验内容用数字信号发生器和逻辑分析仪测试74LS138译码器逻辑功能。
三、实验原理实验原理图如图所示:四、实验步骤1.在Multisim软件中选择逻辑分析仪,字发生器和74LS138译码器;学生姓名:杨宝宝学号:6100209170 专业班级:卓越(通信)091班实验类型:□验证□综合□设计□创新实验日期:实验成绩:2.数字信号发生器接138译码器地址端,逻辑分析仪接138译码器输出端。
并按规定连好译码器的其他端口。
3.点击字发生器,控制方式为循环,设置为加计数,频率设为1KHz,并设置显示为二进制;点击逻辑分析仪设置频率为1KHz。
相关设置如下图学生姓名:杨宝宝学号:6100209170 专业班级:卓越(通信)091班实验类型:□验证□综合□设计□创新实验日期:实验成绩:五、实验数据及结果逻辑分析仪显示图下图实验结果分析:由逻辑分析仪可以看到在同一个时序74LS138译码器的八个输出端口只有一个输出为低电平,其余为高电平.结合字发生器的输入,可知.在译码器的G1=1,G2A=0,G2B=0的情况下,输出与输入的关系如下表所示学生姓名:杨宝宝学号:6100209170 专业班级:卓越(通信)091班实验类型:□验证□综合□设计□创新实验日期:实验成绩:当G1=1,G2A=0,G2B=0中任何一个输入不满足时,八个输出都为1六、实验总结通过本次实验,对Multisim的基本操作方法有了一个简单的了解。
基于Multisim函数信号发生器设计实现与改进
模拟电子技术大作业2015-2016学年第二学期题目:基于Multisim对函数信号发生器设计,实现与改进班级: 14电子二班成员:孙** ;余** ;许** ;成绩:____________________________1摘要: .....................................................................................................- 3 -2 课程设计的目的与作用 ...........................................................................- 3 -3总体方案选择..........................................................................................- 3 -4三种方案详细介绍 ........................................................................................ 1(一)方波-三角波-正弦波函数发生器整体电路图..................................... 11.1方波发生电路 ......................................................................................... 11.2方波—三角波 ......................................................................................... 21.3正弦波 .................................................................................................... 21.4实验可得结果 ......................................................................................... 31.5结果分析 ................................................................................................ 5(二):正弦波——方波——三角波的设计与实现 ..................................... 52.1整体电路 ................................................................................................ 52.2理论分析 ................................................................................................ 62.3实验课的结果 ......................................................................................... 72.4结果分析 ................................................................................................ 8(三):方波——三角波——正弦波实验的验证与改进.............................. 93.1仿真原图形............................................................................................. 93.2仿真改进图形——实现锯齿波 .......................................................... 103.3仿真结果一......................................................................................... 103.4仿真结果二......................................................................................... 125参考文献 ............................................................................................... 121摘要:本设计简述了三种关于方波,三角波,正弦波电路设计方法,并其仿真所得图形做了简单的比较,分析出各自的优缺点。
集成运算放大器应用电路综合设计网上大作业试验报告
《集成运算放大器应用电路综合设计网上大作业》实验报告学号姓名14020188023曾琪杭14020188027田好雨一.实验目的基于模拟电子技术基础理论,设计并仿真集成运算放大器的典型应用电路,构成系统,解决复杂工程问题,通过实践工作体会并掌握模电课程的主要内容。
二.实验命题1.选择方波作为输入信号,频率为23Hz(学号后三位)。
2.设计两个滤波器,用低通滤波器滤出基波,用带通滤波器滤出三次谐波。
确定集成运放的型号,计算滤波器中电阻和电容的取值,实现合适的通带增益和阻带抑制。
3.设计移相器,对三次谐波移相。
在0到-180度范围中选取3到5个移相值,计算移相值相应的电阻和电容的取值。
4.设计加法器,叠加基波和移相后的三次谐波的波形。
计算加法器中电阻的取值。
5.设计方波发生器。
三.实验内容1.基波低通滤波器1.1二阶低通滤波器模型该电路若R1=R2=R,C1=C2=C,则其上限截止频率f H和电压增益Au 分别为:(1-1)(1-2)1.2设计思想根据信号与系统的理论知识,方波信号的傅里叶级数展开式为:(1-3)根据设计要求,为滤得基波,则其上限截止频率f H大于等于23HZ且小于三次谐波的频率69HZ即可。
集成运放选取LM358H。
1.3电阻电容的选取原则令f H=23HZ,根据式(1-1),选C=1Uf,算出Ω≈⨯⨯=-k R 92.61023216π取Ω=k R 7。
选取,使得。
电路图如下:仿真波形:2.三次谐波带通滤波器2.1二阶带通滤波器模型中心频率f0,中心频率增益A u,-3dB带宽BW分别为:(2-1)取有(2-2)(2-3)(2-4)2.2设计思想根据式(1-3),为滤得三次谐波,则其中心频率f 0等于69HZ 。
集成运放选取LM324D 。
2.3电阻电容的选取原则为了确保通带增益和阻带抑制的效果。
选取BW=0.8Hz 。
根据式(2-4),选C=1uF,算出取。
根据式(2-2)计算,取Ω=4.132R 。
微机电系统及纳米技术大作业-微压力传感器
微机电系统及纳米技术大作业题目:微型压力传感器微型压力传感器摘要:MEMS压力传感器是微系统世界里第一个出现的MEMS器件,该项技术已相当成熟,在当今的现代化产业中,压力传感器扮演了很重要的角色。
由于MEMS压力传感器具有高性能、低成本和小尺寸等优点,被广泛地应用于汽车电子、工业控制、消费电子、航天航空和医疗领域等。
MEMS压力传感器在每个领域中都在寻找新应用,例如:汽车领域的汽缸压力感测、医疗领域的循环正气压仪(CPAPM)、消费电子领域的智能手机(三星Galaxy S3的室内导航)和平板电脑。
虽然所有这些新兴应用处于起步阶段,但是前途不可限量。
关键字:MEMS,压力传感器1.发展历程1824年,正是由于瑞典化学家发现了硅,才为今天的电子工业革命奠定了材料基础。
在1947年,Bell实验室利用半导体禇研制的第一个晶体管又为半导体产业奠定了基石。
现如今,短短60年时间,微电子技术已成为了我们生活中不可或缺一部分。
这其中,MEMS即微机电系统经过四十多年的发展,已成为世界瞩目的重大科技领域之一。
它涉及电子、机械、材料、物理学、化学、生物学、医学等多种学科与技术,MEMS技术也正在不动声色地改变着我们生活方式。
喷墨打印机的喷墨头,智能手机的旋转感应,数码相机的防抖系统等等全部引入了MEMS技术。
现代压力传感器以半导体传感器的发明为标志,而半导体传感器的发展可以分为四个阶段:(1)发明阶段(1945 - 1960年):这个阶段主要是以 1947年双极性晶体管的发明为标志。
此后,半导体材料的这一特性得到较广泛应用。
史密斯(C.S. Smith)于1945年发现了硅与锗的压阻效应,即当有外力作用于半导体材料时,其电阻将明显发生变化。
依据此原理制成的压力传感器是把应变电阻片粘在金属薄膜上,即将力信号转化为电信号进行测量。
此阶段最小尺寸大约为 1cm。
(2)技术发展阶段(1960 - 1970年):随着硅扩散技术的发展,技术人员在硅的晶面选择合适的晶向直接把应变电阻扩散在晶面上,然后在背面加工成凹形,形成较薄的硅弹性膜片,称为硅杯。
哈工大电子技术大作业 数字时钟设计 秒表清零 时分校准
电子技术课程设计一评分:数字显示电子钟日期:2014年5月26日1)运用六个LED数码管显示小时、分、秒。
显示状态如下图所示,最右面两个LED数码管显示秒钟计时,中间两个LED数码管显示分钟计时,最左面两个LED数码管显示小时计时。
2)可以快速校准小时、分;秒计时可以校零当不需要对小时、分校准时,单刀双置开关S1、S2均接在上方按钮,如下图所示:当需要对分钟校准时,单刀双置开关S1接在下方按钮,S2接在上方按钮,如下图所示:当需要对小时进行校准时,单刀双置开关S1接在上方按钮,S2接在下方按钮,如下图所示:当不需要对秒计时进行清零时,开关S3接上方两个按钮,当需要对秒计时进行清零时,开关S3接下方两个按钮,电路图如下图所示:3)最大显示为23小时59分59秒,电路图以及时钟显示如下图所示:4)秒脉冲信号由1MHz信号经分频器产生,分频器的电路图如下图所示,分频器接入1MHz信号,输出信号频率为1Hz。
5)绘制电气原理图以及各功能块的原理说明电气原理图如下:各个功能块的原理说明:功能块一:电气原理图中上面六个74LS90芯片进行电子钟的计数功能,并且分别将各自的输出端接入到LED数码管的信号输入端,用于显示电子表的小时、分钟、秒计时。
数字时钟开始工作时,脉冲信号开始输入,秒钟部分低位十进制计数器开始从0计数。
一旦满十就往前送出一个脉冲使其高位进一位;秒钟高位计数器一旦满六时就会往分钟计数器的低位送出一个脉冲使其进一位;分钟计数器低位同样是满十送出一个脉冲到分钟高位计数器使其进一位;分钟高位计数器一旦满六,就会往时钟计数器送出一个脉冲使其低位进一位;时钟计时器与分钟及秒钟的设计略有差别。
因为时钟低位计数器为十进制,在时钟数字显示24之前,两时钟正常工作,但是当时钟显示23,分钟显示59,秒钟显示59时,一旦下一个脉冲到来时,时钟,分钟,秒钟显示器全部清零,计时又重新开始计数。
功能块二:电气原理图中下面六个74LS90芯片作为分频器进行将1MHz频率的信号转成1Hz的脉冲信号。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
模拟电子技术大作业2015-2016学年第二学期题目:函数信号发生器的设计班级:14电子2班成员:丁钱(21406022007)方佳奇(21406022009)冯明侠(21406022010)成绩:___________________________一、简介在人们认识自然、改造自然的过程中,经常需要对各种各样的电子信号进行测量,因而如何根据被测量电子信号的不同特征和测量要求,灵活、快速的选用不同特征的信号源成了现代测量技术值得深入研究的课题。
信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。
可见信号源在各种实验应用和实验测试处理中,它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。
波形发生器就是信号源的一种,能够给被测电路提供所需要的波形。
传统的波形发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,不能根据实际需要灵活扩展。
随着微电子技术的发展,运用单片机技术,通过巧妙的软件设计和简易的硬件电路,产生数字式的正弦波、方波、三角波、锯齿等幅值可调的信号。
与现有各类型波形发生器比较而言,产生的数字信号干扰小,输出稳定,可靠性高,特别是操作简单方便。
根据用途不同,有产生三种或多种波形的波形发生器,使用的器件可以是分立器件 (如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。
信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。
各种波形曲线均可以用三角函数方程式来表示。
能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。
它用于产生被测电路所需特定参数的电测试信号。
在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。
当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。
当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。
并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性,有输出指示。
信号源可以根据输出波形的不同,划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。
正弦信号是使用最广泛的测试信号。
现在,我们通过对函数信号发生器的原理以及构成设计一个能变换出正弦波、方波、三角波的简易发生器。
众所周知,制作函数发生器的电路有很多种。
本次设计先通过RC正弦波振荡电路产生正弦波,这是一种频率可调的移相式正弦波发生器电路,其频率稳定一般为实验所确定,然后可以通过改变电容值来改变再通过电压比较器产生方波,最后通过积分电路形成三角波。
此电路具有良好的正弦波和方波信号。
它的制作成本不高,路简单,使用方便,有效的节省了人力,物力资源。
信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。
该函数发生器要求能输出频率范围可调的正弦波、方波和三角波,能够很好的实现本次试验的目的,将一些线性和非线性的元件与集成运放组合,输出性能良好的波形.由正弦波、方波或三角波的发生器产生相应的信号,通过相互转换实现多种波形的输出。
正弦波可以由RC正弦波振荡电路产生,之后通过过零比较器可产生方波,再积分可得三角波。
通过调节 RC 振荡电路中的振荡电阻来实现频率可调。
通过调节比例运算电路的反馈电阻来实现幅度可调,最终做成要求的函数发生器。
二、设计目的1. 学习由运算放大器组成的正弦波—方波—三角波发生器电路,提高对运算放大器非线性应用的认识。
2.掌握正弦波—方波—三角波发生电路的分析、设计和调试方法。
三、设计任务正弦波-方波-三角波函数发生器①输出波形频率范围为10Hz~10kHz且连续可调;②正弦波幅值为±2V;③方波幅值为2V;④三角波峰-峰值为2V。
四、实验方案的设计1、正弦波可以由RC正弦波振荡电路产生,之后通过过零比较器可产生方波,再积分可得三角波。
2、正弦波发生电路的工作原理(1)、产生正弦振荡的条件:正弦波产生电路的目的就是使电路产生一定频率和幅度的正弦波,我们一般在放大电路中引入正反馈,并创造条件,使其产生稳定可靠的振荡。
正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。
其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。
因此,正弦波产生电路一般包括:放大电路;反馈网络;选频网络;稳幅电路个部分。
(2)、正弦波振荡电路的组成判断及分类放大电路:保证电路能够有从起振到动态平衡的过程,电路获得一定幅值的输出值,实现自由控制。
选频网络:确定电路的振荡频率,是电路产生单一频率的振荡,即保证电路产生弦波振荡。
正反馈网络:引入正反馈,使放大电路的输入信号等于其反馈信号。
稳幅环节:也就是非线性环节,作用是输出信号幅值稳定。
(3)、判断电路是否振荡的方法①、是否满足相位条件,即电路是否正反馈,只有满足相位条件才可产生振荡。
②、放大电路的结构是否合理,有无放大能力,静态工作是否合适;③、是否满足幅度条件。
五、RC正弦波振荡电路常见的RC正弦波振荡电路是RC串并联式正弦波振荡电路,它又被称为文氏桥正弦波振荡电路。
串并联网络在此作为选频和反馈网络。
RC桥式正弦波振荡电路当w=w0=1/RC时,RC选频网络的相移为零,这样RC串并联选频网络送到运算放大器同向输入端的信号电压Vi与输出电压Vo同相,所以RC反馈网络形成正反馈,满足相位平衡条件。
为使在震荡建立期间信号做增幅震荡,应选择Rp3、R1、R2可使Af≥3,保证|AF|>1。
因此它的起振条件为Rp3>2(R3+R4);它的振荡频率为f0=1/2π(Rp1+R1)C 。
它主要用于低频振荡。
要想产生更高频率的正弦信号,一般采用LC正弦波振荡电路。
它的振荡频率为f0=1/2π√ ̄(LC)。
此外,石英振荡器的特点是其振荡频率特别稳定,它常用于振荡频率高度稳定的的场合。
RC文氏桥振荡电路的稳幅作用是靠两个并联的二极管组成的,当输出信号较小时,二极管工作电流小,动态电阻大,电路的增益较大,引起增幅震荡过程。
当输出幅度达到一定程度,二极管工作电流大,动态电阻小,电路的增益下降,电路的输出电压幅值将不再上升,从而使输出电压稳定,以此来达到稳幅的目的。
1、正弦波变换成方波的工作原理(1)、电压比较器的功能电压比较器是用来比较两个电压大小的电路,它的输入信号是模拟电压,输出信号一般是只有高电平和低电平两个稳定状态的电压。
利用电压比较器可将各周期性信号转换成矩形波。
(2)、过零比较器参考电压为零的比较器称为过零比较器。
按输入方式的不同可分为反相输入和同相输入两种过零比较器,通常用阈值电压和传输特性来描述比较器的工作特性。
阈值电压(又称门槛电平)是使比较器输出电压发生跳变时的输入电压值,简称为阈值,用符Uth表示。
本电路中该电路的作用是将正弦信号转变成方波信号。
2、方波变换成三角波的工作原理方波经过积分器就变成了三角波。
但是此电路要求前后电路的时间常数配合好,不能让积分器饱和。
积分电路原理Vo-Vi为积分关系,负号表示输入和输出信号相位相反。
当Vi为定值时,电容将恒流充电,输出电压为:V0=-ViT/RC。
当积分器输入信号为方波时,其输出信号为三角波,电路波形图如下:三角波发生器工作波形积分电路仿真图六、仿真电路及运行结果1、总波形发生电路正弦波-方波-三角波函数发生器该电路分为三部分,第一部分为RC桥式正弦振荡电路,其功能是利用RC振荡产生特定频率的正弦波;第二部分为过零电压比较器电路,其功能为将正弦波转成方波;第三部分为积分电路,其功能为利用积分电路将方波转成三角波;此外,在积分电路之前设置一个电压跟随器,起到隔离作用,使过零电压比较器电路与积分电路不相互影响。
确定电路元器件参数:稳压管的作用是限制和确定方波的幅度,因此方波正负半周的对称性与稳压管性能有关。
因此选用稳压管时,要选择性能好一些的两个管性能对称的稳压管,最好选用双向稳压管;R7是稳压管的限流电阻,阻值根据稳压管的电路确定;常用电位器作为Rp1、Rp2和电容C的值决定三角波的频率f。
一般是Rp1和R2的值确定后,可以先确定电容C 的值,然后由f。
=R2/(4Rp2Rp1C)来确定R4的值.Rp2若采用电位器,则三角波频率可调。
为了减小积分漂移,电容C的值尽量取大些,但是C值越大漏电也越大,因此一般C不超过1uF;集成运放的选择用于比较器的运放要求速度应该高些。
通过改变反馈网络中的变阻RP3的阻值可以改变正弦波的输出波形的幅值;之后方波的输出波形也随之确定;通过改变变阻RP4的阻值可以改变三角波的输出波形的幅值。
2、正弦波仿真在变阻RP1、RP2百分比分别为50%,RP3百分比为25%,示波器输出的波形如下图所示由图可知T1的时间为1.315s,通道A为-1.660V。
T2的时间为1.338s,通道A为1.658V。
由此可知仿真出的正弦波的幅值为:1.660V;峰-峰值为:3.318V;周期为46.154ms。
3、方波仿真由图可知T1的时间为736.976ms,通道A为-6.834V。
T2的时间为760.908ms,通道A为6.812V。
由此可知仿真出的正弦波的幅值为:6.812V;峰-峰值为:13.646V;周期为47.864ms。
3.4三角波仿真由图知T1的时间为5.516s,通道A为-2.554V。
T2的时间为5.180s,通道A为2.513V。
由此知仿真出的正弦波的幅值约为:2.554V;峰-峰值为:5.067V;周期为24.786ms。
七、实验总结1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。
在整个设计过程中,我们通过这个方案包括设计了一套电路原理和其他类型的各种电路原理。
通过对他们的比较和认识,我找到了简单、正确的方法。
2、通过对电路条件的限制,要求我们能更深次地理解各种器件的原理及使用规则,对具体的情况做到正确的判断,提高了我们对书本知识的掌握,也把我们从理论水平提高到实践水平。
3、我深得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。
4、在对各种方案进行排查时,我们才了解到我们现在的知识水平还很有限,需要我们自己拓展,要多看一些关于其他类型的不同的见解。