版图寄生参数提取技术的研究
寄生参数提取 starrc流程
![寄生参数提取 starrc流程](https://img.taocdn.com/s3/m/fe3ee53f00f69e3143323968011ca300a6c3f6d6.png)
寄生参数提取 starrc流程英文回答:## Parasitic Parameter Extraction (StarRC Flow)。
Introduction.Parasitic parameters are key factors influencing the accuracy and efficiency of modern integrated circuit (IC) designs. These parameters account for the unwantedelectrical effects caused by the physical layout of transistors and interconnects, and their accurateextraction is crucial for predicting circuit performance and reliability.StarRC is a widely used commercial tool for parasitic parameter extraction. It employs a full-wave electromagnetic field solver to compute the resistance, capacitance, and inductance of various structures within an IC layout. This approach provides highly accurate resultsbut can be computationally intensive for large and complex designs.StarRC Extraction Flow.The StarRC parasitic parameter extraction flowtypically involves the following steps:1. Layout Preparation: The IC layout is prepared by flattening the layers and removing unnecessary details.2. Mesh Generation: A mesh is generated over the layout to define the geometry for electromagnetic field simulations.3. Electromagnetic Field Simulation: The full-wave solver in StarRC is employed to compute the electromagnetic fields and extract the parasitic parameters.4. Post-Processing: The extracted parasitic parameters are post-processed to remove unwanted effects and improve the accuracy of the extraction.Applications of Parasitic Parameter Extraction.The parasitic parameters extracted using StarRC can be utilized in various applications, including:Circuit simulation: Parasitic parameters can be incorporated into circuit simulators to predict circuit behavior under different operating conditions.Timing analysis: Parasitic parameters impact circuit delays and timing characteristics, and their accurate extraction is essential for accurate timing analysis.Electrostatic discharge (ESD) analysis: Parasitic parameters influence the ESD susceptibility of ICs, and their extraction is crucial for ESD protection design.Power integrity analysis: Parasitic parameters affect power distribution networks and can lead to power supply noise and voltage drop issues, which can be mitigated by considering these parameters in power integrity analysis.Benefits of Using StarRC.StarRC offers several advantages for parasitic parameter extraction:Accuracy: StarRC employs a full-wave electromagnetic field solver, which provides highly accurate results.Scalability: StarRC can handle large and complex designs with millions of transistors and billions of interconnects.Efficiency: StarRC utilizes advanced algorithms and parallelization techniques to optimize the extraction process.User-Friendliness: StarRC provides a user-friendly interface and comprehensive documentation to facilitate its usage.Conclusion.Parasitic parameter extraction is critical for modern IC design. StarRC offers a robust and accurate solution for extracting these parameters, enabling designers to better predict circuit performance, improve reliability, and mitigate design risks.中文回答:## 寄生参数提取(StarRC 流程)。
版图设计中的寄生参数分析
![版图设计中的寄生参数分析](https://img.taocdn.com/s3/m/3a3f9538bcd126fff7050bcf.png)
版图设计中的寄生参数分析1.引言正如我们了解的,工艺层是芯片设计的重要组成部分。
一层金属搭在另一层金属上面,一个晶体管靠近另一个晶体管放置,而且这些晶体管全部都是在衬底上制作的。
只要在工艺制造中引入了两种不同的工艺层,就会产生相应的寄生器件,这些寄生器件广泛地分布在芯片各处,更糟糕的是我们无法摆脱它们。
寄生器件是我们非常不希望出现的,它会降低电路的速度,改变频率响应或者一些意想不到的事情发生。
既然寄生是无法避免的,那么电路设计者就要充分将这些因素考虑进去,尽量留一些余量以便把寄生参数带来的影响降至最低。
2.寄生参数的种类寄生参数主要包括了电容寄生、电阻寄生,和电感寄生。
2.1 寄生电容图1所呈现的是在不同金属层之间以及它们与衬底之间产生的电容情况:图(1) 无处不在的寄生电容由上图我们可以看到寄生电容无处不在。
不过需要了解的是即使寄生电容很多,但是如果你的电路设计对电容不十分敏感的时候,我们完全可以忽略它们。
但当电路的设计要求芯片速度很快的时候,或者频率很高时,这些寄生的电容就显得格外重要了。
一般来说,在一个模拟电路中,只要频率超过20MHz 以上,就必须对它们给予注意,否则,它有可能会毁掉你的整个芯片。
减少寄生电容可以从以下几个方面入手:(1)导线长度如果你被告知某个区域的寄生参数要小,最直接有效的方法就是尽量减小导线长度,因为导线长度小的话,与它相互作用而产生的电容例如金属或者衬底层的电容就会相应地减小,这个道理显而易见。
(2)金属层的选择另一种解决的办法则是你的金属层选择。
起主要作用的电容通常是导线与衬底之间的电容,图2则说明了衬底电容对芯片的影响。
Noisy Quiet图(2) 衬底电容产生的噪声影响如上所示,电路1和电路2都对地产生了一个衬底电容,衬底本身又有一个寄生电阻,这样一来电路1的噪声就通过衬底耦合到电路2上面,这是我们不希望看到的。
(设法使所有的噪声都远离衬底)因此我们改变一下金属层,通常情况下,最高金属层所形成的电容总是最小的。
Parasiticextraction:寄生参数提取
![Parasiticextraction:寄生参数提取](https://img.taocdn.com/s3/m/d8e11436492fb4daa58da0116c175f0e7cd11981.png)
OverviewSynopsys’ Star-RCXT™ is the electronic design automation (EDA) industry’s gold standard for parasitic extraction. It provides a single solution for ASIC, system-on-chip (SoC), digital custom,memory and analog designs. Trusted by over 250 semiconductor companies and proven in thousands of production designs, Star-RCXT delivers fast and sub-femtofarad accurate technology. The Star-RCXT solution offers advanced capabilities needed for sub-65-nanometer (nm) designs, including variation-aware parasitic extraction, chemical-mechanical polishing (CMP) based and litho-aware extraction, inductance extraction and analog mixed signal design flow. Its seamless integration with industry leading physical verification, circuit simulation, timing, signal integrity, power, reliability and RTL2GDSII flows enables unmatched ease-of-use, increased productivity and reduced time-to-market. Star-RCXT is used by leading foundries to solve process modeling challenges at 65-nm and 45-nm.Star-RCXT™Parasitic extractionStar-RCXT Parasitic Extraction SolutionSemiconductor process technology has been continually scaling down for the past four decades and the trend continues. In the early days of integrated circuits (ICs), the speed bottleneck was at the circuit level, whereas interconnects were treated as ideal connections with the parasitic effects ignored. With shrinking process technologies, increasing die size and clock frequency, interconnect parasitic effects have begun to manifest themselves in signal delay and noise. Consequently, interconnects now play an important role in the design flow. Today, IC design is interconnect-limited and the design flow is interconnect-driven. A trusted parasitic extraction tool that models advanced process effects and has the capacity to handle large designs with tens of millions of transistors and cells is required to enable designers to quickly achieve their sign-off goals.Star-RCXT has the capacity and accuracy for sign-off extraction on the world’s largest SoC designs. Star-RCXT’s proprietary, advanced technology extracts full-chip designs extremely fast and provides accurate results within 5 percent or 0.2 femto-farad of industry-standard field solvers. Star-RCXT achieves its high accuracy by performing detailed modeling of every capacitive interaction. While, other extraction tools attempt to increase speed by modeling only a subset of the capacitive interactions, Star-RCXT extracts billions of capacitors for a typical design and by using a proprietary parasitic reduction capability, generates the smallest possible netlist, to achieve accurate results. Figure 2 shows excellent correlation between Star-RCXT and Raphael-NXT and demonstrates the accuracy of Star-RCXT.Star-RCXT provides parasitic extraction solution for full-chip gate-level implementation and sign-off as well as for transistor-level custom, memory, analog-mixed-signal (AMS) and radio-frequency (RF) designers, as shown in Figure 1.Gate-level ExtractionStar-RCXT is easily integrated into all industry standard design flows – Synopsys’ Galaxy™ Design Platform, and other third party implementation platforms – using Synopsys’ Milkyway™, LEF/DEF or GDSII interfaces. Galaxy users benefit from the increased productivity of Milkyway as well as the integration and faster convergence and flexibility of full-chip extraction at any time during the design cycle. In addition, Star-RCXT’s tight binary interface with PrimeTime®, PrimeTime SI, PrimeTime PX and integration with PrimeRail enables accurate post-layout optimization and timing, noise and power network sign-off.Transistor-level ExtractionStar-RCXT is integrated with the EDA industry’s leading layout-versus-schematic verification tools and simulation tools – Hercules™, Calibre, HSIM plus, NanoSim™ , HSPICE® and NanoTime for high-seed productive design. Star-RCXT also reads connectivity, cross-reference, and device information from a generated data-base and integrates with third-party analysis tools through industry standard SPICE, DSPF and SPEF netlist formats. For the highest throughput, Star-RCXT offers hierarchical and in-context modes of extraction.Virtuoso Custom Design Platform IntegrationStar-RCXT is integrated with Virtuoso® Analog Design Environment (ADE) for AMS and RF design. Star-RCXT generates Design Framework II (DFII) database parasitic views for netlisting and simulation, compatible with common netlisting interfaces used within ADE. A probing utility is also providedto probe parasitics either within the parasitic view or within the matching schematic view. The parasitic prober also provides the ability to output probed parasitics to an ASCII report file, and to annotate parasitic view total capacitance values to an associated schematic view.Reluctance (Inductance) ExtractionInductance effect becomes more and more prominent as the resistance (both device and interconnect) decreases andthe operating frequency increases. At low frequencies, RC modeling is sufficient and inductance can safely be ignored.As clock frequencies increase, however, modeling global interconnects such as RC circuits are no longer adequate and inductance must be included in the modeling. Ignoring the inductance effect can underestimate signal integrity problems as well.Star-RCXT provides a novel approach to modeling on-chip inductance effects, called partial reluctance extraction. Reluc-tance is defined as the inverse of inductance. Reluctance effects are localized just like capacitance and unlike inductance, resulting in a much sparser matrix compared to inductance. This enables Star-RCXT to produce the smallest netlist without losing any accuracy – overall achieving orders of magnitude faster extraction and simulation.Variation-aware extractionWith shrinking technology, parametric yield due to variationsin critical device and interconnect process parameters has become the dominant factor in yield loss. In order to improve silicon predictability it is mandatory that extraction tools model the process variation accurately. Also, as the uncertainty grows,Figure 1: Star-RCXT provides comprehensive solution for gate-level and transistor-level extractionthe traditional corner-based methodologies requiring multiple process technology files and time-consuming multiple extraction and simulation runs are becoming impractical. Statistical techniques are needed to model these process variation effects. Star-RCXT offers advanced statistical solution that enables sensitivity based parasitic extraction for interconnect process and temperature variation-aware designs at 65-nm and beyond. The variation of each process parameter, such as conductor or dielectric thickness, is available through the variation-aware process technology file and is used to compute sensitivities of parasitic values based on each of the process variations. Star-RCXT’s sensitivity-based extraction solution offers unique benefits to traditional STA flow users as well as to the variation-aware STA flow users, as shown in figure . It enhances the productivity of the traditional flow designers by eliminating the need to do multiple corner extractions, instead providing a single run sensitivity based extraction and multiple netlisting to feed into the traditional analysis – overall, providing 2X faster solution than traditional 5-corner extraction. On the other hand, for variation-aware STA, it generates a single sensitivity-based netlist for fast and robust sign-off. Star-RCXT Key FeaturesComprehensive Process Modeling Conformal dielectric process support Support of Air Gap Via cap extraction Layer ETCHNonlinear RPSQ variation in function of Silicon width Temperature dependent resistance modeling for conducting layers and vias••••••Support of background dielectric Nonlinear via resistance modeling 45-degree routing supportSupport of multiple inter-layer dielectric Support of multiple intra-layer dielectric Support for co-vertical conductors Support for non-planarized metalMultiple cap accuracy mode for different technology (MODE100/MODE200/MODE400) Advanced Process Modeling Sensitivity Extraction CMP simulator interface Litho-aware extractionSingle run multi-corner extraction Reluctance extraction Substrate extractionAccurate D interconnect modelingWidth and Spacing dependent Thickness variation Bottom Thickness variation Density-based thickness variation Multiple density-based variationWidth and Spacing dependent RPSQ variation RPSQ variation in function of Silicon width Nonlinear RPSQ variation Trapezoidal polygon support Dielectric damage modeling Automatic Field Solver FlowCopper interconnect, local interconnect modeling Low-K dielectric, silicon on insulator (SOI) modeling•••••••••••••••••••••••••••Over 99% of nets within 5% or 200aF-10%-5%0%5%10%N u m b e r o f N e t s50100150200250Percentage ErrorFigure 2: Star-RCXT is strongly correlated with Raphael-NXT (field solver)Figure 3: Star-RCXT’s sensitivity based extraction solution supports traditional corner-based and variation-aware STA and simulation flowsGate-level Flow Gray-Box ExtractionDirect interface with Milkyway Database Direct interface with LEF/DEF 5.6Flexibility of Instance port handling Reading of gzip compressed LEF/DEF files Reduction of netlist sizeSupport metal fill polygons from GDSII file.Support GDS inputs for LEF macros.Support hierarchical LEF/DEF inputs.Power net extraction Transistor-level FlowFlexibility of cap threshold handling Resistance threshold handlingAbility to add probe texts to the final netlist Support coupling capacitance to bulk layersSupport all device types including user-defined devices and generic devicesInterface to Hercules physical verificationInterface to Calibre physical verification through CCI Flexibility to control netlist type Support multiple cross-reference flowFlexibility of ignoring the parameter parasitic presented in spice modelSupport parasitic with model namesAbility to extract device of shrunk design parasitic for actual drawn design••••••••••••••••••••••Productivity and Ease of Use Incremental Extraction Distributed Processing License Queuing ADE integration Hierarchical Extraction Selective net extractionSupport of multiple netlist formats (SBPF/SPF/SPEF) Ability to control a number of characters in a line of netlist Ability to write device parameter in parasitic netlist Ability to split power net parasitic into a separate netlist Ability to extract device parameters such as width/length and report in parasitic netlistAbility to output original design coordinates of instance.Ability to extract a design in a given temperature Ability to read time capacitance or designed cap during extractionAbility to extract multiple corners simultaneously Ability to merge multiple extraction result to one file Flexibility to control of ground capacitanceAbility to write parasitic diode to parasitic capacitance User-control reduction of parasitic netlists Multiple reduction mode for different application Validated silicon models available from leading foundries including TSMC, UMC, Chartered•••••••••••••••••••••Synopsys, Inc.700 East Middlefield Road Mountain View, CA 9404 Specifications File Format SupportStar-RCXT supports the following industry-standard formats and interfaces:Layout data in: GDSII, LEF/DEF, Milkyway, Hercules,CalibreOutput formats: DSPF, SPICE, SPEF, SSPEF Binary interface: Direct binary interface to PrimeTime SI System RequirementsDRAM: 512MB, recommend 1GB Swap Space: 512MB, recommend 2GBInstallation disk space: 250MB baseline plus 250MB per platformDesign disk space depends on the circuit size, recommended minimum 500MB•••••••For more information about this product, sales, support services or training, please contact your local Synopsys representative or call 1-800-388-9125.。
本科生课-集成电路版图设计-实验报告
![本科生课-集成电路版图设计-实验报告](https://img.taocdn.com/s3/m/f89ba6274028915f814dc283.png)
西安邮电大学集成电路版图设计实验报告学号:XXX姓名:XX班级:微电子XX日期:20XX目录实验一、反相器电路的版图验证1)反相器电路2)反相器电路前仿真3)反相器电路版图说明4)反相器电路版图DRC验证5)反相器电路版图LVS验证6)反相器电路版图提取寄生参数7)反相器电路版图后仿真8)小结实验二、电阻负载共源放大器版图验证9)电阻负载共源放大器电路10)电阻负载共源放大器电路前仿真11)电阻负载共源放大器电路版图说明12)电阻负载共源放大器电路版图DRC验证13)电阻负载共源放大器电路版图LVS验证14)电阻负载共源放大器电路版图提取寄生参数15)电阻负载共源放大器电路版图后仿真16)小结实验一、反相器电路的版图验证1、反相器电路反相器电路由一个PMOS、NPOS管,输入输出端、地、电源端和SUB 端构成,其中VDD接PMOS管源端和衬底,地接NMOS管的漏端,输入端接两MOS管栅极,输出端接两MOS管漏端,SUB端单独引出,搭建好的反相器电路如图1所示。
图1 反相器原理图2、反相器电路前仿真通过工具栏的Design-Create Cellview-From Cellview将反相器电路转化为symbol,和schemetic保存在相同的cell中。
然后重新创建一个cell,插入之前创建好的反相器symbol,插入电感、电容、信号源、地等搭建一个前仿真电路,此处最好在输入输出网络上打上text,以便显示波形时方便观察,如图2所示。
图2 前仿真电路图反相器的输入端设置为方波信号,设置合适的高低电平、脉冲周期、上升时间、下降时间,将频率设置为参数变量F,选择瞬态分析,设置变量值为100KHZ,仿真时间为20u,然后进行仿真,如果仿真结果很密集而不清晰可以右键框选图形放大,如图3所示。
图3 前仿真结果3、反相器电路版图说明打开之前搭建好的反相器电路,通过Tools-Design Synthesis-Laout XL新建一个同cell目录下的Laout文件,在原理图上选中两个MOS管后在Laout中选择Create-Pick From Schematic从原理图中调入两个器件的版图模型。
使用Calibre实现RFCMOS电路寄生参量的提取及后仿真
![使用Calibre实现RFCMOS电路寄生参量的提取及后仿真](https://img.taocdn.com/s3/m/1316d7212f60ddccda38a04f.png)
使用Calibre xRC实现RFCMOS电路的寄生参量提取及后仿真郭慧民[摘要]Calibre xRC是Mentor Graphics公司用于寄生参量提取的工具,其强大的功能和良好的易用性使其得到业界的广泛认可。
本文以采用RFCMOS工艺实现的LNA为例,介绍使用Calibre xRC对RFCMOS电路寄生参量提取,以Calibreview 形式输出以及在Virtuoso的ADE中直接后仿真的流程。
本文还将讨论Calibre xRC特有的XCELL方式对包含RF器件的电路仿真结果的影响。
采用Calibre xRC提取寄生参量采用RFCMOS工艺设计低噪声放大器(LNA),其电路图如图1所示,版图如图2所示。
图1 LNA的电路图图2 LNA的版图Calibre支持将其快捷方式嵌入在Virtuoso平台中。
用户只需在自己.cdsinit文件中加入以下一行语句:load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" ))就可以在virtuoso的菜单中出现“calibre”一项,包含如下菜单:点击Run PEX,启动Calibre xRC的GUI,如图3所示。
Outputs菜单中的Extraction Type里,第一项通常选择Transistor Level或Gate Level,分别代表晶体管级提取和门级提取。
第二项可以选择R+C+CC,R+C,R,C+CC,其中R 代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。
第三项可以选择No Inductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。
这些设置由电路图的规模和提取的精度而定。
在Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以选择Calibre xRC提供的CALIBREVIEW形式。
cadence qrc提取寄生参数流程
![cadence qrc提取寄生参数流程](https://img.taocdn.com/s3/m/ff384ab2710abb68a98271fe910ef12d2af9a9d8.png)
cadence qrc提取寄生参数流程英文版Cadence QRC Extraction of Parasitic Parameters ProcessIn the realm of electronic design automation (EDA), parasitic parameters play a crucial role in accurate circuit simulation and analysis. These parameters, such as resistance, capacitance, and inductance, arise due to the physical layout and interconnects of components within a circuit. Cadence QRC extraction is a process that aims to efficiently compute these parasitic parameters, enabling designers to obtain a more realistic representation of their circuit's behavior.The Cadence QRC extraction process begins with the import of the circuit layout data. This typically involves importing geometry information, material properties, and component placements from a CAD tool. Once the layout data is loaded, the extraction process begins by analyzing the geometry anddetermining the appropriate parasitic elements for each component and interconnect.Next, the tool performs a mesh generation step, creating a discrete representation of the circuit geometry. This mesh serves as the basis for the subsequent computation of parasitic parameters. The mesh generation considers the complexity of the circuit geometry, ensuring that fine details are captured while maintaining computational efficiency.After mesh generation, the QRC extraction algorithm is applied. This algorithm computes the parasitic resistance, capacitance, and inductance values based on the geometry, material properties, and interconnects present in the mesh. The algorithm takes into account various factors such as the proximity of components, the thickness and material of interconnects, and the presence of dielectric materials.The computed parasitic parameters are then exported in a format suitable for circuit simulation tools. This allows designersto incorporate the parasitic effects into their simulation models, enabling more accurate predictions of circuit performance.In summary, the Cadence QRC extraction process provides an efficient way to compute parasitic parameters for electronic circuits. By accurately capturing the parasitic effects arising from the physical layout and interconnects, designers can obtain a more realistic understanding of their circuit's behavior, leading to better design decisions and improved product performance.中文版Cadence QRC寄生参数提取流程在电子设计自动化(EDA)领域,寄生参数对于准确的电路仿真和分析至关重要。
RC寄生参数提取在数模混合IC设计中的应用
![RC寄生参数提取在数模混合IC设计中的应用](https://img.taocdn.com/s3/m/e4fd1ae9cf84b9d529ea7a82.png)
1概述基于全定制设计方法设计的模拟模块以及标准单元库在完成版图工作后,为确保版图符合设计要求且连线正确需要进行DRC和LVS检查。
集成电路通过版图设计最终转化到硅片后,由于同层以及不同层材料之间寄生参数的影响会使电路性能与最初仿真结果产生偏差甚至使电路无法正常工作。
因此,电路完成DRC和LVS后还需进行版图寄生参数提取(LPE:layout parasitic extraction)和寄生电阻提取(PRE,parasitic resistance extraction)[1]。
大规模数字集成电路芯片的物理实施中,完成布线后的一项重要工作是进行静态时序分析(STA:static timing analysis)。
在时序分析前,则首先需要对芯片的物理版图设计进行包括电阻、电容以及电感的参数提取,再进行延时计算。
版图设计的完整寄生参数应当包括R、C、L、K,其中L(电感)和K(互感)在单元库版图和低频数字IC中常常忽略[2]。
LPE/PRE完成后我们将会得到一个含有大量寄生元件信息的电路SPICE或CDL 格式网表文件。
该网表文件反映了电路版图的精确特性。
用它进行SPICE后仿真(post-simulation)。
如RC寄生参数提取在数模混合IC设计中的应用王巍(国家集成电路设计深圳产业化基地)摘要:目前的数模混合集成电路设计中,需要对模拟部分进行后版图仿真并对整体电路进行时序分析。
版图后仿真需要进行晶体管级的寄生参数提取,芯片时序分析则需要对互连线进行寄生参数提取。
RC 寄生参数提取的精确度和效率在很大程度上影响着整体芯片设计的质量和效率。
Abstract:In the current mixed analog-digital circuit design,the analog module need to do post-layout simulation and the full-chip need to do STA.While the post-layout simulation need the RC extraction in transistor-level and the interconnect delay also need to be extracted in order to do STA.The definition and efficiency of the RC extraction are very important to the quality of the full-chip design.果后仿真结果同样满足设计要求,那么这时就能够确定版图设计有问题。
集成电路CAD实验报告
![集成电路CAD实验报告](https://img.taocdn.com/s3/m/53d6f2046edb6f1aff001fff.png)
集成电路CAD实验报告姓名:席悦学号:2120503018 班级:微电子31班一、实验目的:通过设计一个简单的缓冲器的原理图到最终的版图,对Cadence的Composer,Analog Design Environment,Virtuoso,Assura等各大功能模块逐一了解,使学生掌握模拟集成电路设计的总体流程,为日后的学习、工作打下坚实的基础。
二、实验项目:1.缓冲器的设计:在配置好Cadence之后,进入Cadence的CIW界面。
为设计一个完整的缓冲器,首先需要设计一个反相器。
利用Cadence的电路编辑工具Composer-Schematic绘制如下图所示的inverter电路:之后利用此inverter Schematic 构建如下图所示的inverter Symbol:我们知道,一个Buffer是由两个Inverter组成,利用前边构建Inverter Schematic的方法,画出缓冲器Buffer的电路原理图:其中的反相器直接调用之前做好的Inverter的Symbol。
同样的,利用此缓冲器的原理图生成相应的缓冲器Symbol图:之后构建仿真电路,对所设计的Buffer电路进行电路仿真(ADE)。
仿真电路图如下:在仿真过程中,我们分别采用tt,ss,ff工艺角进行仿真,得到了如下的波形图和仿真数据:①tt工艺角:其相应数据参数为:Marker, /I5/V1, /OUT, /INM0: Y, 900mV, 900mV, 900mVx[0], 111.36ps, 778.31ps, 50psx[1], 5.1063ns ,5.9952ns, 5.05ns②ss工艺角:其相应数据参数为:Marker, /I5/V1, /OUT, /INM0: Y, 900mV, 900mV, 900mVx[0], 121.55ps, 927.99ps, 50psx[1], 5.1155ns, 6.1676ns, 5.05ns③ff工艺角:其相应数据参数为:Marker, /I5/V1, /OUT, /INM0: Y, 900mV, 900mV, 900mVx[0], 103.43ps, 653.72ps, 50psx[1], 5.0984ns, 5.8613ns, 5.05ns④分析总结:通过对不同工艺角的仿真,可以清晰的看到ss的上升延迟和下降延迟时间最长,而ff的上升延迟和下降延迟最短,而tt工艺角是上升延迟和下降延迟的典型值。
第6章---寄生参数
![第6章---寄生参数](https://img.taocdn.com/s3/m/4b04cbc576a20029bd642d99.png)
parameter
cutoff
linear
saturation
Cgb C0= Cox*WL Cgs 0 Cgd 0 Cg= Cgb+ Cgs+ Cgd C0
0 C0/2 C0/2 C0
寄生电容
由于尺寸很小,因此这些寄生参数的值也很小。 对于对电容不敏感的电路,不必担心; 不管是CMOS还是双极型,只要涉及高频,寄生会成为问题。Leabharlann 忽略寄生参数会毁掉你的芯片。
导线尽可能短 减少寄生电容的方法: 采用电容最低的金属层 绕过电路走线
寄生电容
减少寄生电容的方法 - 选择金属层
起主要作用的电容通常是导线与衬底间的电容。 如下图,寄生参数可以把电路1的噪声通过衬底耦合到电路2,所 以要设法使所有的噪声都远离衬底。
寄生电阻
为了降低寄生电阻,就需要确保使用最厚的金属层。正如我们了解 的, 一般情况下, 最厚的金属线具有最低的方块电阻。 如果遇到 相同的金属层厚度,也可以将这几条金属重叠形成并联结构,大大 降低了电阻。 因此, 并联布线是降低大电流路径电阻的有效方法, 而且还能节省一定的面积。
寄生电感
当电路是在一个真正的高频的情况下工作时, 导线也开始存在了 电感效应。 解决寄生电感的方法就是试着去模拟它, 把它当成电 路中的一部分。 首先需要尽早的完成布局,好让电路设计者较早的看到导线究竟能 有多长,然后估计出可能引起的电感。版图设计过程中尤其注意不 要因为电感耦合而影响其它部分。
寄生电容
减少寄生电容的方法 - 选择金属层
使用Calibre xRC 实施深亚微米IC 寄生参数提取
![使用Calibre xRC 实施深亚微米IC 寄生参数提取](https://img.taocdn.com/s3/m/6d0180d53186bceb19e8bbc2.png)
使用Calibre xRC实施深亚微米IC寄生参数提取培训时间:2007年 7 月 19 日(9:00 AM –5:00PM) ( 1 天)培训地址:上海市浦东新区世纪大道 88号金茂大厦 2902室课程描述:一、为什么需要摘取寄生电阻电容效应:1.电路设计工程师做设计仿真仿真时,并无法确切得知实际版图绘制后,寄生效应的影响.2.传统>0.35um硅制程,设计工程师普遍的观念是Mos Gate delay 占70%, net delay 占30%. 当硅制程<= 0.25um时此观念渐渐并不适用.3.先进制程虽然线宽缩小,但是其导线厚度却相对的增加.如此一来,偶合电容的重要性逐渐增加.如何能精确摘取寄生电阻电容执行版图绘制后后段仿真模拟的工作变得异常重要.如此才能确认Tape out的设计质量.二、Calibre xRC 的价值:1.完整整合Calibre LVS 并提供五种不同的网表输出.HSPICE, ELDO, DSPF,SPEF, SPECTRE2.晶元代工厂(TSMC, UMC, SMIC, Chartered , IBM…. Etc)提供完整的rulefile.3.针对Cadence PDK用户, Calibre xRC 提供“Calibre view”的网表输出格式让设计工程师能在Cadence 整合环境中执行版图绘制后后段仿真模拟的工作.让模拟或射频的电路能符合当初设计的规格,让设计工程师更有信心.4.Calibre RVE提供寄生电阻电容预览的功能(Parasitic Browsing).工程师能透过Calibre RVE显示寄生电阻电容的摘取坐标,让工程师能充分了解实际看到此片段寄生电阻电容的值.5.针对更先进铜导线制程(Copper)<=0.13um, Calibre xRC 提供新的in-die variation寄生电阻电容效应的摘取方法.此法针对铜导线的特性建立一系列的表格.必须充分与晶元代工厂合作.才能提供完整的rule file. TSMC已经验证过xRC精确度,并也已经提供xRC rule file在其网站上供使用者下载.6.针对先进制程除了要有精确的寄生电阻电容摘取之外,新组件模型参数的摘取也需要一起配合.Calibre xRC 结合Calibre LVS提供新组件模型参数LOD(length over diffusion), N-well ProximityMentor Graphics 的Calibre 是深亚微米物理验证的工业标准。
Calibre xRC的使用
![Calibre xRC的使用](https://img.taocdn.com/s3/m/6c3a93ea81c758f5f61f67f5.png)
Calibre xRC 的使用1.版图中的寄生参数在使用Calibre xRC提取寄生参数之前,先介绍一下电路中的寄生参数。
一般来讲,寄生参数有寄生电阻、寄生电容、寄生电感等,其中寄生电阻和寄生电容对电路的影响最为明显。
在版图中,各导电层如铝线、多晶等及导电层之间的接触孔只要有电流通过就会有寄生电阻。
两层导电层之间会存在寄生电容,寄生电容一般可分为本征(intrinsic)和耦合(coupled)两种,本征电容是指导电层到衬底(substrate)的电容,它有两种类型,如图1中所示:#1为intrinsic plate电容,#4和#5为intrinsic fringe电容;耦合电容是指导电层在不同网线之间的电容,图1中#2、#3和#6就属于这种,其中#2为nearbody 电容,#3为crossover fringe电容,#6为crossover plate电容。
图1 寄生电容模型电路中寄生参数的存在给电路的工作造成了一定的影响,寄生电阻的存在会影响到电路的功耗,寄生RC会影响电路中的信号完整性,等等。
所以在版图完成后,必须提取出版图中的寄生参数,将它们反标入逻辑电路中一起进行仿真,以此来检查版图设计的准确性。
2.Calibre xRC功能简介Calibre xRC提供了多种寄生参数提取解决方案。
它可以根据电路设计的不同要求来提取不同的寄生参数网表,针对全定制电路和模拟电路可以提取晶体管级(transistor level)的网表,针对自动布局布线产生的电路可以提取门级(gate level)网表,针对数模混合电路可以提取混合级(ADMS)的电路网表。
它还可以根据不同的电路分析要求进行提取,针对电路的功耗(Power)分析,只进行寄生电阻的提取,针对电路的噪声(Noise)分析而仅对寄生电容的提取,针对电路的时序(Timing)分析而提取相应的RC或RCC网表,针对电路的信号完整性(Signal Integrity)分析提取寄生RC或RCC网表。
第五章 寄生参数
![第五章 寄生参数](https://img.taocdn.com/s3/m/789fc0ded15abe23482f4d20.png)
双极型晶体管的例子
匹配
匹配规则: 1.把匹配器件相互靠近放臵。 2.注意周围器件; 3.保持器件的方向一致;
根器件方法 第一种策略:保持在同一方向上; 第二种策略:采用跟部件;
根部件:采用库中的一个器件,用这同一器件 来设计所有其它器件;
不足之处:较大的电阻上的接触电阻会成为整 个电阻很显著的一部分;
四方交叉
如果只有两个器件,可以把每一个器件分为两半, 然后把它们成对角线放臵,称为四方交叉技术 四方交叉技术非常适合于“高度匹配”的要求
四方交叉技术只能应用于两个被一分为二 的器件;
四方交叉可以是任意一对器件;
图中,在集电极和基极的布线之间存在不必要 的额外重叠,这些额外的重叠是为了均衡某些 交叉寄生参数。 匹配规则:使布线上的寄生参数匹配
经济四方交叉
匹配规则:使每个器件都对称 对称性是版图中主要考虑的问题
匹配信号路径
差分逻辑要求高度匹配的电路。 在CMOS逻辑中,0和1分别代表高电平和低电 平。每个信号中只有一条导线。一条导线可以 传送低或高电平。 在差分逻辑中每个信号有两条导线,确定在两 条导线上两个信号之间的差别就知道了逻辑状 态。可以通过一个电压减去另一个电压的差来 决定逻辑状态。
使器件宽度一致; 采用尺寸较大的器件; 与电路设计者交流; 注意邻近的器件;
计算IR压降
布线方案 当对IR压降的限制和电路中电路的大小了解后, 就会意识到必须把电源线分成多条导线才能满 足这些条件。
不足的是功率大的电路离压焊块最远。
根据总共19毫安的总电流来确定整条导线的宽度
有各种方案,具体采用哪种方案取决于 电路的要求
实验八寄生参数提取(PE)及信号完整性分析(SI)
![实验八寄生参数提取(PE)及信号完整性分析(SI)](https://img.taocdn.com/s3/m/64ba670e0a4e767f5acfa1c7aa00b52acec79c41.png)
实验八寄生参数提取(PE)及信号完整性分析(SI)一、实验目的1.了解寄生参数的产生及对电路的影响。
2.掌握寄生参数提取和信号完整性分析的流程和意义。
二、实验内容1. 寄生参数提取(1)在Zeni LE窗口中,选择V erification->Layout V erification,在弹出的对话框中,选择Tool->Zeni V eri。
(2)在Command file栏里,浏览输入以下路径/zeni/v4627/demo/veri/PE_demo/pe_demo.pe,该文件是Zeni系统中PE_demo样本库所提供的用于PE提取的规则命令文件。
(3)将Custom Operation前的方框选中,选择PE选项卡。
(4)按下Appy键或OK键,Zeni开始运行PE分析。
报告结果存放在工作路径中的<cellname>.veri.log文件中。
注意:PE分析完成后,Zeni将在工作路径下生成一个以<cellname>.spi为名称的SPICE网表文件。
打开该文件可以看到,PE过程将按照提取规则,提取出版图中存在的所有器件,而不仅仅是寄生电阻和寄生电容,用户自己设计的晶体管及电容电阻等也会被一起提取出来。
该文件的名字和设计原理图时生成的网表一样,所以在PE进行时,原理图的spi网表将被覆盖。
如果需要保留,应该在PE之前将原spi网表文件换一个名字保存。
2. 局部寄生参数提取(Partial PE)(1)Partial PE执行的过程和全局PE是一样的,采用同样的PE规则命令文件。
只是在Custom Operation选项处,选择Partial PE选项卡,如下图所示。
3. 信号完整性分析(SI)在版图进行寄生参数提取(PE)之后,应该进行信号完整性分析(SI Analysis),以考察寄生参数对信号的影响程度,是否达到不可接受的程度。
目前,Zeni SI工具可以提供以下分析:Time Delay(时延分析)RC-Reduction(R,C值分析)Noise Analysis(噪声分析)(1)在PE进行完成之后,在LE窗口中,选择主菜单栏-verification,在下拉菜单中选择SI Analysis。
使用 Calibre 实现RFCMOS 电路的寄生参量提取
![使用 Calibre 实现RFCMOS 电路的寄生参量提取](https://img.taocdn.com/s3/m/2f87b9fb5ef7ba0d4a733b2d.png)
使用Calibre xRC实现RFCMOS电路的寄生参量提取及后仿真中国科学院微电子研究所郭慧民[摘要]Calibre xRC是Mentor Graphics公司用于寄生参量提取的工具,其强大的功能和良好的易用性使其得到业界的广泛认可。
本文以采用RFCMOS工艺实现的LNA为例,介绍使用Calibre xRC对RFCMOS电路寄生参量提取,以Calibreview 形式输出以及在Virtuoso的ADE中直接后仿真的流程。
本文还将讨论Calibre xRC特有的XCELL方式对包含RF器件的电路仿真结果的影响。
采用Calibre xRC提取寄生参量采用RFCMOS工艺设计低噪声放大器(LNA),其电路图如图1所示,版图如图2所示。
图1 LNA的电路图图2 LNA的版图Calibre支持将其快捷方式嵌入在Virtuoso平台中。
用户只需在自己.cdsinit文件中加入以下一行语句:load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" ))就可以在virtuoso的菜单中出现“calibre”一项,包含如下菜单:点击Run PEX,启动Calibre xRC的GUI,如图3所示。
Outputs菜单中的Extraction Type里,第一项通常选择Transistor Level或Gate Level,分别代表晶体管级提取和门级提取。
第二项可以选择R+C+CC,R+C,R,C+CC,其中R 代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。
第三项可以选择No Inductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。
这些设置由电路图的规模和提取的精度而定。
在Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以选择Calibre xRC提供的CALIBREVIEW形式。
基于ansys Q3D仿真软件的寄生参数提取方法
![基于ansys Q3D仿真软件的寄生参数提取方法](https://img.taocdn.com/s3/m/7860f18e7cd184254b3535f2.png)
基于ansys Q3D仿真软件的寄生参数提取方法
在电子电路中难免存在寄生电感、寄生电容等参数,这时需要将PCB文件导出成.anf文件,再导入至ansys slave软件,现来具体描述寄生参数的提取过程
1.安装ansys electronic desktop 安装完成后会自动安装一
系列的插件
图标如图所示
2.生成.anf文件
打开PCB文件,点击file➡export➡ansoft neutral,这一步非常关键,处理不好会导致ansys 软件导入失败,注意此时文件名、路径以及PCB工程、元件参数设置均不能有中文、小数点等特殊字符出现,必须由英文、数字或下划线组成。
保存文件格式如下:
3.打开ANSYS SLAVE 软件
其界面如图所示
点击import ANF,选择刚刚保存的ANF文件打开后点击上方的export菜单中的Q3D软件
导入成功之后如下图所示
对需要仿真的net选择,然后添加source和sink,再设置analysis setup,点击对号检查,检查无误后点击叹号即可仿真。
集成电路版图设计基础第六章:寄生参数
![集成电路版图设计基础第六章:寄生参数](https://img.taocdn.com/s3/m/4a1c084de45c3b3567ec8b7e.png)
intrinsic capacitance (a parallel plate capacitor)
school of phye basics of ic layout design 15
器件的寄生参数
CMOS晶体管 -
栅电容:
Cgb is necessary to attract charge to invert the channel, so high gate capacitance is required to obtain high Ids. Cgb = Cox * WL = Cpermicron * W Cpermicron = Cox*L = (εs/tox) *L
school of phye
basics of ic layout design
4
寄生电容
减少寄生电容的方法 - 选择金属层
起主要作用的电容通常是导线与衬底间的电容。 如下图,寄生参数可以把电路1的噪声通过衬底耦合到电路2,所 以要设法使所有的噪声都远离衬底。
school of phye
basics of ic layout design
能否利用寄生参数?
从整体来说,不可以利用寄生参数得到好处。 因为寄生参数可以正负相差50%,无法很好地控制。 然而,可以利用寄生参数得到一点小外快。如把电源线和地线互 相层叠起来就可以得到免费的电源去耦电容。
basics of ic layout design 14
school of phye
器件的寄生参数
school of phye
basics of ic layout design
6
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
4.学位论文李宁深亚微米集成电路互连RC网络约简算法分析2005
随着半导体工艺特征尺寸的迅速减小,电路的性能越来越多地取决于互连线而不是器件。互连寄生延迟将大大超过器件延迟而成为制约系统时钟频率的关键因素。就是说,大部分时钟周期将被消耗在数据传输过程当中,而不是像过去那样,是消耗在数据的运算和生成过程中。全局互连线的寄生效应仍将是制约电路性能的瓶颈。不断提高互连质量,准确快速分析互连寄生效应将始终是一个具有挑战性的重要课题。由于寄生参数对电路性能的影响十分重要,设计人员一般把寄生参数的分析分作两个阶段:寄生参数提取和寄生参数分析。寄生参数提取是指将版图中的互连线经过抽象形成寄生的电阻和电容器件,而寄生参数分析是指针对提取的RC电路进行模拟和运算,分析这些RC对电路的影响。经过寄生参数提取形成的电路网表在规模上远远大于没有经过寄生参数提取的网表,这对后续的RC分析效率带来了极大困难。寄生参数提取之后网表规模急剧增长的主要原因是:版图中的互连线长度较长,并且通过通孔连接到不同的布线层上,在参数提取时,对这类互连线要切割为许多小的线段形成多个寄生电阻,此外,由于耦合寄生电容的存在,寄生电容个数也很大。为了提高后续RC分析的效率,在寄生参数提取和寄生参数分析两个阶段之间往往需要加入一个RC约简的步骤。它的基本出发点是:在保持电路近似等价的前提下,通过一定的等价变换,大大减小寄生参数网表的规模。一般来说,RC约简后的网表规模会比约简前减少一个数量级以上。本文针对互连RC约简的技术难点,对经典的RC网络约简算法PACT算法改进计算环节提出了若干解决方法,明显提高了计算的精度与速度。采用了RCM预处理技术来对矩阵的变量重新编号,对经过RCM预处理的矩阵进行Cholesky分解,其分解结果的非零元素比不经过预处理分解的非零元素减少20%以上,大大提高了程序效率。提出一种十分简便的Lanczos计算方法,有效地解决稠密矩阵特征值分解的问题。采用了特殊的Lanczos初始向量设置方法,保证了恶性中断不会发生。克服了经典PACT算法的局限性,使之可以适用于实际应用的各种电路的网络约简,如纯电阻网络,纯电容网络,没有直流通路到端口的特殊电路等,从而提高了该算法的适用性。基于上述算法开发了互连RC网络约简软件ZeniRCR,通过大量来自VLSI实际版图算例的测试结果表明,ZeniRCR具有优越的性能和很强的竞争能力。
7.会议论文黄文.国云川.徐跃杭.徐锐敏一种简单高效的SiCMESFET寄生参数提取新方法2009
本文提出了一种新的SiCMESFET寄生参数提取方法,所有寄生参数仅需一组单偏置COLDFET反向截止条件下的测量S参数便可提出。文章首先在频带低端(f<5GHz)推导出寄生PAD电容Cpg与Cpd满足的数学表达式,然后以此为限制条件,构造算法,利用全频带测量S参数,寻找出准确的寄生电容值,最后在频带高端(f>18GHz)提取出寄生电阻和电感参数。由于所需的测试数据少,测试方法简单,因此提取效率高。该方法应用于栅长和栅宽分别为1mμ和
6.学位论文马阳成高速集成电路中互连线与via结构的参数提取2005
本文首先介绍了矩量法的基本概念及基函数和检验函数,其次阐述了矩量法求解线天线电流分布的步骤,研究了互连线上电流各模幅度和传播常数的参数提取,由已知的离散电流值,应用广义函数束(GPOF)法提取互连线上电流各个模的幅度与传播常数,并对互连线上未知电流分布进行了预测。介绍了通孔的机械特性以及通孔的寄生电容和寄生电感,并用准静态法对via结构的过剩电感进行了计算。根据pocklington积分方程计算出通孔结构上的电流分布,并用GPOF法提取via结构上入射波和反射波的幅度,进而得到高速电路中via结构的散射参数。
为了得到电容模型,需要对结构中每个变化的尺寸取若干采样点,进行场的求解,用计算结果建电容模型。采样点多,拟合精度高,但场计算时间就会增加很多,而且结构中变量越多,计算量更是以变量个数的指数形式增长。如何确定最佳采样点,成为建模中一个非常关键的问题。本论文引入试验设计方法,选取的采样点均匀分散在求解区域,能够比较全面的代表计算区域的信息,
在用真实尺寸求解电容时,要剖分的几何结构很有可能因为工艺变形产生一些很狭小的区域,给剖分和计算带来困难,本论文采用了扰动有限元处理这个问题,剖分简单,一定范围内精度较高。它是用设计尺寸建立方程,用导数和变形尺寸修正建好的方程,得到与真实尺寸对应的矩阵方程,然后进行求解。
在集成电路设计中,互连线寄生参数提取通常采用模式库的方式,也就是先根据工艺描述构造各种常用结构的电容模型,布线完成后,再分段扫描实际导线结构,在模式库中找到相匹配模型,计算得到电容值。工艺改变,模式库就要重建,这是一项非常耗时的工作。本论文提出一种新的建模式库方法,就是在旧工艺模型的基础上,建立误差模型,叠加到原来的模型上,组成新工艺模型,计算结果表明,这是一种比较有效的快速的建模方法。
⑴从理论上深入研究了GaN HEMT器件的物理机理,得到高频下器件的电容分布效应对器件性能的影响。在传统的14元件等效电路模型的基础之上,通过增加两个寄生电容来表示栅源、栅漏极间串扰的方法,设计出GaN HEMT的16元件等效电路模型。
⑵对GaN HEMT小信号等效电路模型和参数提取方法进行了研究。通过理论上对直接提取和分步提取两种方法进行比较,最终选择采用分步提取法对所建模型参数进行研究。分别对本征参数、寄生电容、寄生电感、电阻等参数进行提取。并使用ADS仿真工具对该16元件等效电路模型的S参数进行拟合,结果显示仿真结果和测试结果吻合较好,得到了一种参数提取简单,运算量小,容易实现的分步提取法。并对大信号模型参数提取方法进行了探索。以及对GaN HEMT功放的工作原理、性能参数进行了分析。
10.学位论文程彬杰深亚微米全耗尽SOI器件建模及模型参数提取研究2000
SOI技术除了具有抗辐照、耐高温的特性以外,还具有寄生电容小、小尺寸效应小、亚阈特性好等突出优点,天然地适合低压、低功耗集成技术的需要,有望成为未来ULSI中的主流技术.SOI器件的建模问题对SOI电路的电子设计自动化(EDA)具有重要的意义,是目前研究的热点之一.该论文深亚微米全耗尽(FD)SOI器件,从表面热模型、阈值电压模型、漏电流特性模型、非本征效应模型、模型参数提取与可靠性分析等几个方面进行了研究.
300mμ的SiCMESFET寄生参数提取,使用COLDFET反向截止条件下0.5GHz~20GHz测量S参数为提取依据,COLDFET反向截止条件下Y参数仿真结果与实测数据在
0.5GHz~20GHz范围内吻合良好。
8.期刊论文陈巍巍.周卫.刘道广.许军.CHEN Wei-wei.ZHOU Wei.LIU Dao-guang.XU Jun一种优化的SiGe HBT集约模
版图寄生参数提取技术的研究
作者:王艺璇
学位授予单位:重庆邮电大学
1.学位论文屈慧深亚微米/纳米集成电路互连线寄生电容的提取和敏感度分析2008
随着集成电路的集成度提高,特征尺寸的减小,互连线逐渐取代了晶体管,在电路信号的完整性和串扰方面占据了主导地位,所以,准确提取集成电路互连线的寄生参数成为电路性能校验中重要一环。但是当前工艺的特征尺寸已经远远低于掩膜光刻工艺中所使用的光波波长,光学效应使得互连线制造出来的形状尺寸和原来设计的不再一致,虽然经过光学近似校正及相偏移光罩技术校正,但光刻后的导线尺寸还是与设计尺寸有一定的偏差。而传统设计都是用设计尺寸进行参数提取,这给互连寄生参数的提取精度带来问题。在目前针对这种工艺变化所提出的解决方法中,敏感度被证明是一种非常有效的方法。以前求敏感度都是采用差分法,但该方法计算结果对两次网格的形状和尺寸非常敏感,因此误差可能比较大。本论文采用有限元求导的方法,直接计算互连寄生电容对易变尺寸的敏感度,计算简单,精度完全能够满足工程需求。针对工艺变形中的边角圆化敏感度求解问题,提出了相应的处理办法。互连线处在分层的介质中,本论文同时考察了介质均质化技术对敏感度计算的影响,证明均质化技术能够简化敏感度计算,同时获得满意的精度。
ZHAO De-yi.SU Qiang CMOS标准单元后仿真及其时序信息的建立-微电子学与计算机2009,26(5)
时序信息是标准单元特征化参数的重要组成部分.针对全定制标准单元的版图,在进行LVS验证之后,采用寄生参数提取工具对其进行晶体管级的寄生参数提取,得到单元内部的详细寄生电容和电阻值.提出了一种建立标准单元时序信息的方法,并以一个具体标准单元为例对其进行了版图后仿真,结果表明该法行之有效.
与全面设计方法相比,采样点大为减少,采用非线性回归的方法得到的电容模型不低于旧模型的精度。关键词:集成电路寄生参数提取;敏感度;工艺变化;扰动有限元;模式库;采样点
2.期刊论文魏洪川.王算机工程与应用2002,38(16)
集成电路密度的不断提高对寄生电容提取的精度和速度提出了越来越高的要求,文章应用直接边界元法提取互连电容,对一种GMRES预条件算法做出修改并应用于实际计算中.两个典型算例的理论分析和实际计算表明,这种预条件方法可以降低方程的迭代次数约30%,明显减少方程求解时间.
型及参数提取方法-微电子学2008,38(1)
通过合理简化和改进MEXTRAM模型,提出了一种优化的SiGe HBT集约模型和参数提取方法;精确提取了一组微波SiGe HBT的模型参数.仿真结果与测试数据的相对误差不超过3%.
9.期刊论文晁长征.吴龙胜.刘佑宝.唐威.赵德益.苏强.CHAO Chang-zheng.WU Long-sheng.LIU You-bao.Tang Wei.
3.学位论文丁猛GaN HEMT小信号等效电路参数提取2009
化合物半导体高电子迁移率晶体管等效电路建模是微波电路领域的一个重要课题,模型的精确度直接决定仿真结果的可信度。随着无线通讯技术的飞速发展,宽禁带半导体材料GaN作为第三代半导体材料以其优异的电学特性使得GaNHEMT(高电子迁移率晶体管)建模成为研究热点。而原有的14元件模型存在较大的高频区域误差,本文克服高频下电容分布效应,在原有模型的基础之上,设计了一种适合高频情况的器件模型,并对其主要参数的提取方法进行乐研究。主要内容如下: