版图寄生参数提取技术的研究

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
ZHAO De-yi.SU Qiang CMOS标准单元后仿真及其时序信息的建立-微电子学与计算机2009,26(5)
时序信息是标准单元特征化参数的重要组成部分.针对全定制标准单元的版图,在进行LVS验证之后,采用寄生参数提取工具对其进行晶体管级的寄生参数提取,得到单元内部的详细寄生电容和电阻值.提出了一种建立标准单元时序信息的方法,并以一个具体标准单元为例对其进行了版图后仿真,结果表明该法行之有效.
7.会议论文黄文.国云川.徐跃杭.徐锐敏一种简单高效的SiCMESFET寄生参数提取新方法2009
本文提出了一种新的SiCMESFET寄生参数提取方法,所有寄生参数仅需一组单偏置COLDFET反向截止条件下的测量S参数便可提出。文章首先在频带低端(f<5GHz)推导出寄生PAD电容Cpg与Cpd满足的数学表达式,然后以此为限制条件,构造算法,利用全频带测量S参数,寻找出准确的寄生电容值,最后在频带高端(f>18GHz)提取出寄生电阻和电感参数。由于所需的测试数据少,测试方法简单,因此提取效率高。该方法应用于栅长和栅宽分别为1mμ和
⑴从理论上深入研究了GaN HEMT器件的物理机理,得到高频下器件的电容分布效应对器件性能的影响。在传统的14元件等效电路模型的基础之上,通过增加两个寄生电容来表示栅源、栅漏极间串扰的方法,设计出GaN HEMT的16元件等效电路模型。
⑵对GaN HEMT小信号等效电路模型和参数提取方法进行了研究。通过理论上对直接提取和分步提取两种方法进行比较,最终选择采用分步提取法对所建模型参数进行研究。分别对本征参数、寄生电容、寄生电感、电阻等参数进行提取。并使用ADS仿真工具对该16元件等效电路模型的S参数进行拟合,结果显示仿真结果和测试结果吻合较好,得到了一种参数提取简单,运算量小,容易实现的分步提取法。并对大信号模型参数提取方法进行了探索。以及对GaN HEMT功放的工作原理、性能参数进行了分析。
10.学位论文程彬杰深亚微米全耗尽SOI器件建模及模型参数提取研究2000
SOI技术除了具有抗辐照、耐高温的特性以外,还具有寄生电容小、小尺寸效应小、亚阈特性好等突出优点,天然地适合低压、低功耗集成技术的需要,有望成为未来ULSI中的主流技术.SOI器件的建模问题对SOI电路的电子设计自动化(EDA)具有重要的意义,是目前研究的热点之一.该论文深亚微米全耗尽(FD)SOI器件,从表面热模型、阈值电压模型、漏电流特性模型、非本征效应模型、模型参数提取与可靠性分析等几个方面进行了研究.
3.学位论文丁猛GaN HEMT小信号等效电路参数提取2009
化合物半导体高电子迁移率晶体管等效电路建模是微波电路领域的一个重要课题,模型的精确度直接决定仿真结果的可信度。随着无线通讯技术的飞速发展,宽禁带半导体材料GaN作为第三代半导体材料以其优异的电学特性使得GaNHEMT(高电子迁移率晶体管)建模成为研究热点。而原有的14元件模型存在较大的高频区域误差,本文克服高频下电容分布效应,在原有模型的基础之上,设计了一种适合高频情况的器件模型,并对其主要参数的提取方法进行乐研究。主要内容如下:
型及参数提取方法-微电子学2008,38(1)
通过合理简化和改进MEXTRAM模型,提出了一种优化的SiGe HBT集约模型和参数提取方法;精确提取了一组微波SiGe HBT的模型参数.仿真结果与测试数据的相对误差不超过3%.
9.期刊论文晁长征.吴龙胜.刘佑宝.唐威.赵德益.苏强.CHAO Chang-zheng.WU Long-sheng.LIU You-bao.Tang Wei.
与全面设计方法相比,采样点大为减少,采用非线性回归的方法得到的电容模型不低于旧模型的精度。关键词:集成电路寄生参数提取;敏感度;工艺变化;扰动有限元;模式库;采样点
2.期刊论文魏洪川.王光辉.王泽毅3-D寄生电容直接边界元提取的预条件方法-计算机工程与应用2002,38(16)
集成电路密度的不断提高对寄生电容提取的精度和速度提出了越来越高的要求,文章应用直接边界元法提取互连电容,对一种GMRES预条件算法做出修改并应用于实际计算中.两个典型算例的理论分析和实际计算表明,这种预条件方法可以降低方程的迭代次数约30%,明显减少方程求解时间.
300mμ的SiCMESFET寄生参数提取,使用COLDFET反向截止条件下0.5GHz~20GHz测量S参数为提取依据,COLDFET反向截止条件下Y参数仿真结果与实测数据在
0.5GHz~20GHz范围内吻合良好。
8.期刊论文陈巍巍.周卫.刘道广.许军.CHEN Wei-wei.ZHOU Wei.LIU Dao-guang.XU Jun一种优化的SiGe HBT集约模
6.学位论文马阳成高速集成电路中互连线与via结构的参数提取2005
本文首先介绍了矩量法的基本概念及基函数和检验函数,其次阐述了矩量法求解线天线电流分布的步骤,研究了互连线上电流各模幅度和传播常数的参数提取,由已知的离散电流值,应用广义函数束(GPOF)法提取互连线上电流各个模的幅度与传播常数,并对互连线上未知电流分布进行了预测。介绍了通孔的机械特性以及通孔的寄生电容和寄生电感,并用准静态法对via结构的过剩电感进行了计算。根据pocklington积分方程计算出通孔结构上的电流分布,并用GPOF法提取via结构上入射波和反射波的幅度,进而得到高速电路中via结构的散射参数。
为了得到电容模型,需要对结构中每个变化的尺寸取若干采样点,进行场的求解,用计算结果建电容模型。采样点多,拟合精度高,但场计算时间就会增加很多,而且结构中变量越多,计算量更是以变量个数的指数形式增长。如何确定最佳采样点,成为建模中一个非常关键的问题。本论文引入试验设计方法,选取的采样点均匀分散在求解区域,能够比较全面的代表计算区域的信息,
5.会议论文魏洪川.王光辉.王泽毅3-D寄生电容直接边界元提取的预条件方法2002
集成电路密度的不断提高对寄生电容提取的精度和速度提出了越来越高的要求,本文应用直接边界元法提取互连电容,对一种GMRES预条件算法做出修改并应用于实际计算中.两个典型算例的理论分析和实际计算表明,这种预条件方法可以降低方程的迭代次数约30﹪,明显减少方程求解时间.
⑶从理论入手,对原有的14元件等效电路模型进行改进,提出16元件等效电路模型,并采用分步提取法完成GaN HEMT小信号等效电路模型的参数提取,测试和仿真结果显示了本文中参数提取方法的正确型和可靠性,优化了参数提取的方法。
4.学位论文李宁深亚微米集成电路互连RC网络约简算法分析2005
随着半导体工艺特征尺寸的迅速减小,电路的性能越来越多地取决于互连线而不是器件。互连寄生延迟将大大超过器件延迟而成为制约系统时钟频率的关键因素。就是说,大部分时钟周期将被消耗在数据传输过程当中,而不是像过去那样,是消耗在数据的运算和生成过程中。全局互连线的寄生效应仍将是制约电路性能的瓶颈。不断提高互连质量,准确快速分析互连寄生效应将始终是一个具有挑战性的重要课题。由于寄生参数对电路性能的影响十分重要,设计人员一般把寄生参数的分析分作两个阶段:寄生参数提取和寄生参数分析。寄生参数提取是指将版图中的互连线经过抽象形成寄生的电阻和电容器件,而寄生参数分析是指针对提取的RC电路进行模拟和运算,分析这些RC对电路的影响。经过寄生参数提取形成的电路网表在规模上远远大于没有经过寄生参数提取的网表,这对后续的RC分析效率带来了极大困难。寄生参数提取之后网表规模急剧增长的主要原因是:版图中的互连线长度较长,并且通过通孔连接到不同的布线层上,在参数提取时,对这类互连线要切割为许多小的线段形成多个寄生电阻,此外,由于耦合寄生电容的存在,寄生电容个数也很大。为了提高后续RC分析的效率,在寄生参数提取和寄生参数分析两个阶段之间往往需要加入一个RC约简的步骤。它的基本出发点是:在保持电路近似等价的前提下,通过一定的等价变换,大大减小寄生参数网表的规模。一般来说,RC约简后的网表规模会比约简前减少一个数量级以上。本文针对互连RC约简的技术难点,对经典的RC网络约简算法PACT算法改进计算环节提出了若干解决方法,明显提高了计算的精度与速度。采用了RCM预处理技术来对矩阵的变量重新编号,对经过RCM预处理的矩阵进行Cholesky分解,其分解结果的非零元素比不经过预处理分解的非零元素减少20%以上,大大提高了程序效率。提出一种十分简便的Lanczos计算方法,有效地解决稠密矩阵特征值分解的问题。采用了特殊的Lanczos初始向量设置方法,保证了恶性中断不会发生。克服了经典PACT算法的局限性,使之可以适用于实际应用的各种电路的网络约简,如纯电阻网络,纯电容网络,没有直流通路到端口的特殊电路等,从而提高了该算法的适用性。基于上述算法开发了互连RC网络约简软件ZeniRCR,通过大量来自VLSI实际版图算例的测试结果表明,ZeniRCR具有优越的性能和很强的竞争能力。
在用真实尺寸求解电容时,要剖分的几何结构很有可能因为工艺变形产生一些很狭小的区域,给剖分和计算带来困难,本论文采用了扰动有限元处理这个问题,剖分简单,一定范围内精度较高。它是用设计尺寸建立方程,用导数和变形尺寸修正建好的方程,得到与真实尺寸对应的矩阵方程,然后进行求解。
在集成电路设计中,互连线寄生参数提取通常采用模式库的方式,也就是先根据工艺描述构造各种常用结构的电容模型,布线完成后,再分段扫描实际导线结构,在模式库中找到相匹配模型,计算得到电容值。工艺改变,模式库就要重建,这是一项非常耗时的工作。本论文提出一种新的建模式库方法,就是在旧工艺模型的基础上,建立误差模型,叠加到原来的模型上,组成新工艺模型,计算结果表明,这是一种比较有效的快速的建模方法。
版图寄生参数提取技术的研究
作者:王艺璇
学位授予单位:重庆邮电大学
1.学位论文屈慧深亚微米/纳米集成电路互连线寄生电容的提取和敏感度分析2008
随着集成电路的集成度提高,特征尺寸的减小,互连线逐渐取代了晶体管,在电路信号的完整性和串扰方面占据了主导地位,所以,准确提取集成电路互连线的寄生参数成为电路性能校验中重要一环。但是当前工艺的特征尺寸已经远远低于掩膜光刻工艺中所使用的光波波长,光学效应使得互连线制造出来的形状尺寸和原来设计的不再一致,虽然经过光学近似校正及相偏移光罩技术校正,但光刻后的导线尺寸还是与设计尺寸有一定的偏差。而传统设计都是用设计尺寸进行参数提取,这给互连寄生参数的提取精度带来问题。在目前针对这种工艺变化所提出的解决方法中,敏感度被证明是一种非常有效的方法。以前求敏感度都是采用差分法,但该方法计算结果对两次网格的形状和尺寸非常敏感,因此误差可能比较大。本论文采用有限元求导的方法,直接计算互连寄生电容对易变尺寸的敏感度,计算简单,精度完全能够满足工程需求。针对工艺变形中的边角圆化敏感度求解问题,提出了相应的处理办法。互连线处在分层的介质中,本论文同时考察了介质均质化技术对敏感度计算的影响,证明均质化技术能够简化敏感度计算,同时获得满意的精度。
本文链接:http://d.g.wanfangdata.com.cn/Thesis_Y1219434.aspx
授权使用:胡乃志(wfhygcdx),授权号:2866c632-3dc1-4e66-bae3-9de2014db9c7
下载时间:2010年Fra Baidu bibliotek月30日
相关文档
最新文档