dc详细中文教程)_tangoblues_advanced asic chip sy
DC-II 操作手册说明书
DC-II™DROP CELL / DIP CELL OPERATION MANUALTable of contents1. Overview32. Scope 43. Equipment requirements44. Calibration instructions55. Measurement66. Notes12List of tablesTable 1 Normal cathodic protection rangesfor bare carbon steel in seawater 7 Table 2 Troubleshooting 8List of figuresFigure 1 Calibration cell-to-cell 9 Figure 2 Calibration vs. zinc coupon 10 Figure 3 Cable immersion test 11Notes:1. Specifications subject to change without notice2. Platforms and risers must be inspected via drop cell yearly in the Gulf ofMexico per API RP 2A-WSD as part of Level I inspections1. OverviewThe DC-II™ drop-cell is a dual element silver/silver chloride (Ag/AgCl) reference electrode for measuring cathodic protection potentials in seawater; readings are taken with a multi-meter . The reference electrode cable has two leads, one to each element. The bare ends of the wires should never be immersed in water .The DC-II™ is lowered into the seawater off the side of a platform or vessel. The slender, weighted body reduces the chance that the DC-II™ could become entangled in the struc-ture or surrounding objects. The DC-II™ is lowered to the deter-mined water depth(s) and the structure potential is recorded from a hand-held multi-meter .Reference electrode elements in housingStandard 250’ reelDC20004Optional 500’ reelDC200222.0 ScopeThe purpose of this document is to instruct users on how to properly operate the DC-II™, including general assembly, recording andinterpreting potential readings.3.0 Equipment requirementsOne of each of the following is needed. Please note that it’s wise tohave a spare of each.3.1 DC II™ + spooled cable3.2 Multi-meter (preferably intrinsically safe)3.3 Test leads, alligator-type (usually attached to multi-meter) 3.4 Metal file or rasp3.5 Zinc calibration coupon with lead wire (calibration only)3.6 Pencil or pen3.7 Clipboard or notepad for recording potentialreadings and depths3.8 Grounding cable with large alligator clip (optional)3.9 Gas meter (optional)3.10Non-metallic bucket (calibration only)3.11Valid certificate of calibration for the multi-meter (usuallyattached to the multi-meter)4.0 Calibration instructions (See Figure 1)CAUTIONAlways inspect test leads, connectors and drop cell cable for cracksor breaks in the insulation before each use. If any defects are found,replace item immediately. Ensure that the test leads do not get wet.4.1 Check test leads on multi-meter:4.1.1Connect both test leads to the multi-meter: One to the “V-Ω” terminal and the other to the “COM” terminal.4.1.2Set the multi-meter to the smallest resistance scale (typically 200 Ohms).4.1.3Ground the probe end of the test leads together and record the value. If this value is 0.5 Ohms or less, proceed. Ifthis value is greater than 0.5 Ohms, check test leads fordamage and retest; otherwise, replace the test leads.4.2 Fill a non-metallic bucket or container with enough seawateror simulated seawater solution to fully immerse the DC-II™, verifying that the water is free of oil.4.3 Insert the DC-II™ into the seawater and agitate the DC-II™ toremove all air bubbles. This ensures that the Ag/AgCl referenceelectrodes are in contact with seawater.4.4 Clean the zinc calibration coupon with a file or sandpaper.Remove most of the oxide layer and insert the coupon into bucket,leaving the lead wire above water.4.5 Allow the DC-II™ to soak for approximately one hour to allowthe electrodes to reach equilibrium (usually not necessary if probehas been used recently).4.6 Set the multi-meter to the 200mV DC scale.4.7 Take a reading between the two Ag/AgCl reference elements(The two bare wires from the DC-II™). The reading on the metershould have a value of +/- 10.0mV or less. If so, the survey mayproceed. If the reading is greater than +/- 10.0mV, soak theelectrodes for another hour to reach equilibrium and check again. Ifreading is still not within +/- 10.0mV, the DC-II™ should be replaced.4.8Set the multi-meter to the 2.0V DC scale. (See Figure 2)4.9 Take a reading between the zinc calibration coupon’s leadwire and each Ag/AgCl reference element (a total of two readings) as shown in Figure 2.4.10The value on the meter for each reading should be between (-) 1.030 V and (-) 1.070 V (depending on the purity of the zinc coupon).5.0MeasurementCAUTIONA hot work permit may be required If the multi-meter being used isnot intrinsically safe, as a multi-meter is considered a spark hazard.5.1Deploy the DC-II™ housing into the seawater to the first depthspecified (usually 3 meters [10 feet]).5.2Connect the multi-meter test lead from the “COM” terminal toeither of the two Ag/AgCl electrode leads.5.3Using a steel file or rasp, remove coating and corrosionproduct from a very small area of the structure down to bare metal.The area to be used must be electrically continuous with the mainstructure. Some sections of grating may only be fastened to the deck by bolting and should not be used.5.4Connect the multi-meter test lead from the “V-Ω” terminal tothe uncoated portion of the structure (from the previous step).5.5Set the multi-meter to the 2 volt DC scale.5.6Record the potential reading from the multi-meter and waterdepth into a notebook or form.5.7Record the multi-meter reading at each depth increment of5m, or as required.5.8After the last reading, disconnect the multi-meter and coil thecable evenly onto the reel.5.9Soak the elements in a non-metallic bucket of fresh water forat least one hour before storing.Table 1 - Normal cathodic protection ranges for bare carbon steel in seawaterNote: For brackish or fresh water, please consult Peterson’s Nomogram.VoltmeterMFR0038Troubleshooting the DC-II™Table 2 -Notes:1. Never allow the leads to contact the structure directly.2. Only connect through a high-impedence multimeter.3. To avoid entanglement, always deploy on the down stream / downwind.Figure 1CELL TO CELL CALIBRATION DC-II™ CALIBRATION WIRING SCHEMATICNote: To avoid damaging the cable, do not invert the DC-II™ when placing it in the bucket.Figure 2CELL TO ZN COUPONDC-II™ CALIBRATION WIRING SCHEMATICVoltmeter display at 2.0V DC setting should be within -1.030V and -1.070 V. Readings outside this range indicate possible damage to wire on spool.Note: Clean the Zn coupon with a file or sandpaper before using.Note: Clean the Zn coupon with a file or sandpaper before using.Figure 3CABLE IMMERSION TEST CHECKING DC-II™ CABLE FOR DEFECTSWithout a Zn coupon, you can determine if a cable is defective. Pull lengths of cable through the bucket; when the readings sud-denly shift, you’ve found the defect. Use an undam-aged probe for the survey instead.Voltmeter to leads With the voltmeter display at 2.0V DC setting, feed lengths of cable through the bucket. Any nicks will cause a noticeable shift in the voltmeter readings. Do this individually with each wire within the cable. If the damage is isolated to only one wire, readings can still be taken using the unaffected lead if no other undamaged probe is ing a Zn couponNOTES。
DC-3000中文说明书
3.3 3.4 3.5 3.6
准備測量圖形 ................................................... 36 擺正工件 ....................................................... 36 座標平移 ....................................................... 37 DC-3000 操作 ................................................... 37
3.1 概念 ........................................................... 21
3.1.1 圖形元素(Feature) ...................................................... 21 3.1.2 圖形列表(Feature List) ................................................. 21 3.1.3 采樣點(Targeting Point) ................................................ 22 3.1.4 生成圖形的方法 ......................................................... 22
DC1278 快速入门指南说明书
QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 12786-CHANNEL, 14-BIT, 1.5MSPS SIMULTANEOUS SAMPLING ADCLTC2351-14 DESCRIPTIONDemonstration circuit 1278 features the LTC2351-14 6-channel, 14-Bit, simultaneous sampling ADC. Total throughput is 1.5MSPS; 250KSPS per channel, with a typical channel-to-channel aperture skew of 200ps. The board is designed to be used with the DC890B Fast DAACS data collection board to show the AC performance of the LTC2351-14. Alternatively, the board can be directly connected to an application to evaluate the ADC’s performance.Design files for this circuit board are available. Call the LTC factory.LTC is a trademark of Linear Technology CorporationQUICK START PROCEDURE BASIC CONNECTIONSConnect DC1278 to a DC890B USB High Speed Data Collection Board using connector J2. Connect DC890B to a host PC with a standard USB A/B cable. Apply 5-7V DC to the VIN and GND terminals. Apply a 25MHz 3.3Vp-p sine wave or square wave to connec-tor J3. Note that J3 has a 50 ohm termination resistor to ground. CH0-CH5 are provided through connector J1 (See schematic for details.). Run the QuickEval II (Pscope.exe) evaluation software supplied with DC890 or download it from /software.Figure 1. CONNECTION DIAGRAM 40 pin AnalogSignal Connector (Refer to Schematic)To DC890BController Master clock at 98x conversion rate, 3.3Vpp Sine or Square wave (See Hardware Setup Section)5-7VDC SupplyFigure 2.SOFTWARE SCREENSHOTSOFTWARE CONFIGURATIONCONFIGURE DEVICEThe Pscope software should automatically config-ure itself after detecting the demo board. To change from Bipolar to Unipolar mode it will be necessary to manually configure the software. In the CONFIGURE menu (See Figure 3) select Device, which will bring up another window. In this window, select User Configure and adjust the other settings as follows:Bits: 14Alignment: 14Bipolar: Checked if BIP jumper is set high, Un-Checked if BIP jumper is set to low. (Default is checked)Channels: 6Positive Edge Clk: UN-CheckedFPGA: Serial 1408 Class. CONFIGURE SOFTWARE SCREENThe software interface is highly configurable and displays any combination of time domain data, fre-quency domain data, primitive wave and perform-ance parameters (SNR, THD, SINAD, etc.). The screen can be broken into multiple panes as shown in Figure 2. Complete documentation on configur-ing PSCOPE can be found in the help file.Click the COLLECT button to begin acquiring data. Complete software documentation is available from the Help menu item, as features may be added peri-odically.HARDWARE SET-UPJUMPERSJP1, JP2 - Select number of channels to convert and Unipolar / Bipolar selection. NCH2, NCH1, NCH0 are set to 111 which selects all six channels. These switches should be left in this position when running Pscope software. UNI/BIP selection applies to all channels. Refer to Figure 4.JP3 –Enable Oscillator and Oscillator Division. Presently not used. This may be used in the future as serial clock, to allow a convert signal at 1X the conversion rate.JP4 – Digital Interface Header. Provides direct con-nection to the LTC2351-14 CONV, SDO, and SCK pins. This can be used to either monitor signals with a logic analyzer or to drive the LTC2351-14 directly from the customer’s test equipment or pro-totype circuitry. DC890B should be disconnected before driving the LTC2351-14 externally with JP4. Note that R34 should be removed if the CONV sig-nal is being driven externally.JP5 – Currently not used SIGNAL CONNECTIONSJ1 –40 pin connector with CH0-CH5 differential inputs, multiple grounds, a mid-supply bias voltage and Vref. Refer to schematic for pin out. The mid-supply bias voltage can be used to bias the minus ADC inputs for bipolar conversions.J2 – Data connections to DC890B collection board. J3– Conversion Clock Input. This input has a 50 ohm termination resistor, and is intended to be driven by a 3.3Vpp sine or square wave. This clock is divided by 98 in the DC890B collection board to control the serial interface and convert pulse. To run the LTC2351-14 at maximum conversion rate, apply a 25MHz signal to this input.GROUNDING AND POWER CONNECTION Connect a 5V to 7V power supply to the Vin and GND turret posts. For optimum performance, this supply should be floating with respect to any signal generators connected to the analog inputs.Figure 4 – JP1, JP2 CONFIGURATION。
Tango中文操作指南
注 即使没有连接 AuroraTango,你也可以通过 PDA 查看存储的测试结果。 如果工作在 PDA 模式下,你可以通过 PDA 上的 On-Screen User Guide (屏幕用户手册)获取 使用 AuroraTango 的更深入的资料。
设置 Pass/Fail 门限值(PDA&一键模式)
返回主页。
查看先前存储的测试结果
PDA 可存储多达 40 个测试结果。这些结果可输出给电脑,或存在 PDA 的 MemoPad (备忘 录)里,或通过打印机打印出来。
1. 在 ADSL 主页,点击图标
将显示测试结果文件清单。
2. 选择你想要查看的结果并点击图标
将出现结果页面。
打印存储的测试结果 1. 在结果页面点击图标 。
第7页
1. 将 PDA 装在 AuroraTango 上。
2. 在 ADSL 主页,点击图标
将显示 Setup(设置)页面。
3. 在下拖菜单上选择 Pass/Fail。 4. 点击并输入 Upstream BR(上行比特率)和 Downstream BR(下行比特率)的比特率值。
5. 点击图标
返回 ADSL 主页。
3. 在 Test Result(测试结果)页面,点击图标 4. 选择你想要打印的结果及格式。
,进入 Print Result(打印结果)页面。
5. 再次点击图标
。
理解各图标的含义
开始测试 点击启动一次测试。 测试通过 表明 modem 仿真类型及测试通过。点击可查看测试结果。 测试失败 表明 modem 仿真类型及测试失败。点击可查看测试结果。 噪声测试完毕 表明一次仅仅的噪声测试完成。 中止测试 点击将中止正在进行的测试。
DC BLUE DIGITAL 安装手册说明书
Installer instructions:DC BLUE DIGITALRemove this section on completion of the installation and leave the balance of the pages in the cover with the end user.Revision Feb 2015FEATURES•Emergency ov erload sensing system.•Load sensing system automatically compensates for minor v ariances innominal door load.•Soft start and soft stop motor run control forsmoother operation.•Digital limit positioning.•All settings retained in cases of pow er interruptions.Selectable auto-close function.•Adjustable auto-close time up to 100sec.•Manual ov erride for w hen hand operation is necessary.•Safety beam input for safer operation.•Suitable for both Tip-up and Sectional ov erhead type doors.•Magnetic Hall Effect Sensor system for proven reliability.•High torque, low rpm motor mounted in a unique C-chassis for quieter operation.•Courtesy light activates for 3min w henever the door runs.TECHNICAL SPECIFICATIONS•Max. Opening height – 3m•Max. Door size – 10m2•Primary pow er supply – 220Vac +/- 10% 50Hz•Trav el speed – 7.2m/min @ 10kgF w h en battery is charged.Light bulb – 24V 10W bayonet mount.•Standby pow er consumption - < 5W•Fixed magnet 24Vdc motor•Chain dr i v e•Max. run time – 99sec• 4 selectable load sensing levels•Primary supply fuse – fast blow 3A glass 20mm x 5mm.•Motor fuse – fast blow 8A glass 20mm x 5mm.•Auxiliary output for safety beams etc. – 12Vdc 100mA.Safety ObligationsRead and understand before commencing installation. Failure to follow these obligations can cause irreparable damage or harm to the system and or person.Prior to installation:The door must be balanced correctly to the tensioning system. When operated by hand the door should be free of hindrance and easily moved.When left at any position in its travel, the door should neither rise nor fall. If the door does rise or fall, re-balance the tensioning system. (Tensioning should only be carried out by a qualified and experienced person)The door material must be sound and whole. Ensure the areas where the operator attaches have been re-enforced. The door hardware must be in good serviceable condition.Ensure the wall above the door is sound and strong enough to allow proper fixing of the operator. If necessary use through bolts and, or cross brace plate to spread the load. (Typically on cinder brick or hollow walls)Do not use false fascia for mounting.The DC Blue Digital is designed for weatherproof applications only. Free of moisture and dust. Install in completely walled and roofed garages only.Ensure the area of installation is not subject to explosive hazards. There should be no inflammable gasses or fumes as these can present a serious safety hazard.The DC Blue Digital is designed to be used in low traffic applications only. Do not install on doors used for multi parking garages like office parks or apartment blocks with single entrance.The DC Blue Digital is supplied with a sealed 15A safety plug on lead for use in an electrical code of practice approved plug point. Do not extend, modify or replace the plug lead unless duly qualified as an electrician. Before installing the unit, ensure the mains supply is switched off.It is the responsibility of the installer to ascertain that the designated persons(Including children) intended to use the system, do not suffer reduced physical sensory or mental capabilities, or lack of experience and knowledge, unless they have been given supervision or instruction concerning the use of the system by a person responsible for their safety.The drive may not be installed on a door incorporating a wicket door, unless the drive is disabled by the release of the wicket door.Installation:Remove all cables, latches or catches not necessary for automation. Ensure the working area is clear of obstructions and obstacles.No modification should be made to the DC Blue Digital operator.Cont........Safety Obligations continued....Use of any installation procedure alternate to what is laid out in this documentation can be hazardous and will not be the responsibility of ET Systems.Install the door sticker depicting the safety reverse test and keeping door area clear. This sticker should be fixed to the inside surface of the door or near any permanent door control switches such as a wall console if installed.The emergency release cord must be installed where it is no higher than 1.8m from the floor level. The label explaining the manual release operation must be fixed to the, or adjacent to the actuating component of the release mechanism.Any additional fixed door control switches such as wall consoles, if installed, must be at a height of at least 1,5m, within clear sight of the door and away from any moving components of the system.Do not substitute any component of DC Blue Digital with any other manufacturer’s part. ET Systems accepts no responsibility for the safety and correct operation of the automation system if any of these points are ignored.After Installation:•It is the responsibility of the installer to ensure the user:•Is proficient in the use of the manual emergency release mechanism.•Is issued with the documentation accompanying this product.•Understands that the door may not be operated out of clear sight.•Ensures that children are kept clear of the door area and that children do not play with the remote transmitters.•Is instructed not to attempt to repair or adjust the automation system.•Is proficient in testing the unit’s safety sensing system by means of placing a 40mm high object (wooden block) below the door in the closed position. On contact with the object the unit mustreverse the door away and back to the open position.Assembling the drawbar to the motor-head1.While aligning the splined shaft and socket, gently press the motor head and draw b ar together.Use the packaging to rest the motor head on w hile doing this so as not to damage the motor head cover.2.Sw ing the drawbar so that it lies evenly betw een the fastening points as above.3.Place the battery mounting base plate on top of the drawbar as shown above i n the pictures.(For ease of handling only install the battery once unit is hanging in final position. This is show n on page 7 of this manual)4.Fasten the mounting straps dow n using the 4 x 12mm M8 machine bolts supp li ed.5.Take care not to over tighten, as this w i ll strip the brass inserts out of their moldings.Attach the hanging angle bracketas show n here, us i ng the 3rd strapprov ided. Ensure there is 50-100mm between the motor-headand strap.Mount ing methodfor purloinsparallelMount ingmethod forsolid r oofMount ingmethod forpurloins 90o t oTrackless tip up type door installationA = BABIn the case of a tip up type door automation, the open end of the door bracket must face the floor whenthe door is fully open.To clear highest point of door travelLink arm to be 180oto the door in thefully open position180oOverhead sectional type door automationHighest point of doortravel.Back end level w ith front end.Front mount 50mm above highest point of door travelWhen door is closed the link arm should be almost upright to a ll ow for positive l ock i ng.Combine curved extension with the straight link arm as shown here.Open end of door bracket facing up. Use the cross brace mounting plate to support the bracketDoor bracket and cross bracing plate to be installed in line with the top rollers at center of the door.How to install the batteryThe battery bracket kit consists of:1 x base plate1 x holding strap1 x machine screwThe battery mounting base plate shouldalready be installed beneath the motorhead mounting straps.(See “Assembling the drawbar to the motor-head” on page 4)Mount and fasten the battery intothe bracket kit as shown alongsidehere.Be sure to position the battery rightside up as seen here! Even thoughthe battery i s sealed it should notbe installed upside down!Connect the battery lead from thebattery to the battery lead from thecontrol card by pressing the male andfemale plugs together. Make sure tomatch the po l ar i ty. Red to Red andBlack to Black.Do not force the two together. Theyare molded to assemble in onedirection on l y.Important note about the battery back-up!*The Battery and charger need to be inspected on a regular basis.* •The battery capacity is 3.5 A/hour at 24Vdc when fully charged.•In the case of a power failure the DC Blue Digital will draw all power from the battery. This includes the standby current required by the control card.•The battery reserve will last approximately 18 - 24hrs without running the door (and with no auxiliaries connected) thereafter the door may not run correctly.•The running time available on the battery will be dependent on how heavy the door resistance is and how long the door run time is per full cycle.•The heavier the door resistance, the more drain on the battery reserve each cycle.•The battery recharge time is approximately 10hrs – 12hrs to full charge on resumption of mains power supply.NB! Extended power failures will be detrimental to the lifespan of the battery. To prevent irreversible damage to the battery re-apply power immediately when you become aware of the power interruption.Always ensure the battery connections are firmly made. Loose battery connections result in corroded battery terminals and connectors and the current flow into and out of the battery will be impeded causing the battery to fatigue prematurely.Control card wiring and component identification.Setting the ends of travel limits1.Press and hold SET button +/- 3 sec.•Both SET LED and OPEN LED will illuminate.2.Release SET button.3.Press and hold OPEN button.•Door will open.4.Release OPEN button at required open limit.•Door will stop.5.(Fine tune position using OPEN and CLOSE buttons if necessary)6.Press and release SET button to save open limit.•OPEN LED will extinguish and CLOSE LED will illuminate.7.Press and hold CLOSE button.•Door will close.8.Release CLOSE button at required closed limit.•Door will stop.9.(Fine tune position using OPEN and CLOSE buttons if necessary)10.Press and release SET button to save closed limit.•Door will confirm limit travel by automatically running to open position and returning to closed position.This completes the limit setup.NB! If the unit initiates the safety overload routine at any time during the limit setup:1. Power down.2. Adjust the overload sensing as below.3. Power up.4. Begin the limit position setup again as above.Light Res i stance Light-med i umRes i stanceMed i um-heavyRes i stanceHeavyRes i stanceSelecting and adjusting Auto-c l ose(ONLY USE IN C O NJ U N C T I O N WITH A SAFETY DEVICE SUCH AS I NF R A-R E D B E A M S)To activate the auto-close, switch dip-switch 1 on.The time the door remains open can be adjusted from 1 sec to 100 sec by turning the auto-close pot clockwise.Master erase/defaulting. (It is recommended that this be done on installation).1.Power down.2.Place a short between all three the receiver programming pins.3.With the short in place, power up.•LEARN LED begins flashing off and on,•LEARN LED extinguishes,•LEARN LED illuminates permanently.4.Remove the short and remove power.5.Return power with no short in place.The receiver memory is now cleared of all remote codes.Programming a remote transmitter code into the receiver memory.(Hold the remote transmitters +/-500mm away from the rece i v er antenna when programming).1.Begin by selecting a button on the remote transmitter,2.Start transmitting (FIRST) by pressing and holding the remote transmitter button requ i red,3.Short the middle receiver pin to the BT receiver pin,•LEARN LED will flash confirmation.ERASING AN INCORRECTLY PROGRAMMED REMOTE TRANSMITTER.(For example if the neighbour’s remote transmitter was accidentally memorized while programming).1.Begin by pressing and holding the master remote transmitter (User 1 remote transmitter)button until LEARN LED illuminates.2.Release the Master remote transmitter button.3.Within 10 sec. press and hold the incorrectly programmed remote transmitter button.•LEARN LED will begin flashing confirmation of successful erase.4.Release the now erased remote transmitter button.WARRANTY: All goods manufactured by ET Systems (Pty) Ltd. carry a 12 month factory warranty from date of invoice. All goods are warranted to be free of faulty components and manufacturing defects. Faulty goods will be repaired or replaced at the sole discretion of ET Systems (Pty) Ltd. free of charge. This warranty is subject to the goods being returned to the premises of ET Systems (Pty) Ltd. The carriage of goods is for the customer’s account. This warranty is only valid if the correct installation and application of goods, as laid out in the applicable documentation accompanying said goods, is adhered to. All warranty claims must be accompanied by the original invoice. All claims made by the end user must be directed to their respective service provider/installer.The following items are not included in the warranty:1. The light bulb.2. The batteries. (Limited 6 month warranty)3. The motor brushes4. Acceptable wear and tear.The following conditions will disqualify this product from the warranty as laid out above. These conditions are non-negotiable.•Any single DC Blue DIGITAL garage door operator used to automate more than one door at one time.•Any DC Blue DIGITA L garage door operator used outdoors, including carports.•Any DC Blue DIGITAL domestic garage door operator used in excessive traffic applications for example an apartment-block parking garage.•Any unauthorized non-manufacturer modifications to the product or components thereof.When contacting any of our support centers please have a record of any unusual activity. This w ill assist in the accurate diagnostic of the fault and assist us in maintaining our high expectancy of support for our products.You may be asked to take readings with a multi-meter. Please have one handy. If you are not proficient in the use of a multi-meter please contact a service provider in your area. Your nearest ET Systems (Pty) Ltd. branch will have contact details of preferred service providers in your area.。
DC综合全过程及使用的命令
DC综合全过程及使用的命令DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示读取文件DC支持多种硬件描述格式,.db .v .vhd等对于dcsh工作模式来说,读取不同的文件格式需要不同的参数Read -format Verilog[db\vhdl ect] file //dcsh工作模式对于tcl工作模式来说,读取不同文件格式使用不同的命令。
Read_db file.db //tcl工作模式读取db格式Read_verilog file.v //tcl工作模式读取verilog格式Read_vhdl file.v //tcl工作模式读取vhdl格式读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令;Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体缺省情况下,elaborate读取的是work目录中的文件当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加DC限制条件施加的对象针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。
在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路:Set_load 3 Clk由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为:Set_load 3 [get_nets Clk] TCL modeSet_load 3 find(net,”Clk”)dc_shell mode路径的划分与合法路径DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件路径的起点为输入端口或者是触发器的数据端路径终点为输出端口或者是触发器的时钟端DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位定义电路的工作环境和综合环境1.Set_operating_conditions不同的工作环境对应的单元电路的延迟不相同温度升高、电压降低、电路的特征线宽增大都会使得单元电路的延迟增大电路的工作环境一般在工艺库中都有给定命令格式:set_operating_conditions [–min min_condition] [–max max_condition] [-min_library min_lib] [–max_library max_lib]命令使用说明指定DC进行优化时使用的工作环境,其中工作环境的指定必须在库中指定,或者在link_library中的其中一个库中指定,如果不指定operating_conditions,DC自动搜索link_library中的第一个库的工作环境作为优化时使用的工作环境可以用get_attributes语句取得库中缺省的工作环境-max选项指定电路最大延迟分析(分析电路是否满足触发器建立时间set up的要求)的工作环境-min选项用于指定电路最小延迟分析(分析电路是否满足触发器保持时间hold time的要求)的工作环境,该选项不能单独使用,必须与-max同时使用如果不指定用于分析最小延迟的库,则只使用-max中指定的库用于电路的延迟分析-min_library选项指定用于电路最大延迟分析的工艺库-max_library选项指定用于电路最小延迟分析的工艺库2.set_min_libraryVendor可能提供多个不同的工艺库,用于分析电路的时序,不同工艺库定义了不同的工作环境、不同的线负载模型、不同的单元延迟时间,这是可以将这些苦都指定用于分析电路的延迟命令格式:set_min_library max_library –min_version min_library | -none命令的使用说明:该命令指定max_library用于分析电路的最大延迟,min_library用于分析电路的最小延迟。
synopsys-dc中文教程(比较详细)
ASIC design flow
IP and Library Models
Verified RTL
Design Constraints
Logic Synthesis optimization&scan insertion Static Timing Analysis
no Time ok?
Floorplan placement, CT Insertion&Global routing
-output active_design+”.sdf” write_constraints –format sdf –cover_design\
-output constraints.sdf
ASIC design flow
Verification
利用SDF文件进行动态时序仿真:利用功能仿真时。用verilog 编写的test_bench 文件 形式验证:利用数学算法检查设计的逻辑的等效性,静态验证,需要的时间比动态仿真少,
方案。我们就以下几个方面对DC做以介绍:
script文件:由DC的命令构成,可使DC自动完成综合的整个过程。 DC支持的对象、变量、属性 DC支持的文件格式及类型 DC在HDL代码中的编译开关,控制综合过程
Translate_off/translate_on:指示DC终止或开始verilog 源代码转换的位置。 full_case:阻止case语句在不完全条件下生成latch。
பைடு நூலகம்
ASIC design flow
Compile and scan insert的scripts,采用bottom_up的编译方法
set_fix_multiple_port_net –buffer_constants –all compile –scan check_test create_test_pattern –sample 10 preview_scan insert_scan check_test 如果模块内的子模块具有dont_touch 属性需添加如下命令,因要插入扫描 remove_attribute find(-hierarchy design,”*”)dont_touch
dc使用教程
d c使用教程-CAL-FENGHAI.-(YICAI)-Company One1DC使用说明文件说明:在进行下面的演示时需要用到两个文件,一个是,它是描述一个电路的verilog代码,我们的目标就是用DC综合这个代码得到满足约束条件的电路网表;另一个是,它是综合的脚本文件。
这两个文件都在/home/student1000目录下,大家把它们拷贝到自己的目录下,以备使用。
DC既可使用图形界面,也可不使用图形界面而直接运行脚本来综合电路。
一、DC图形界面的使用。
1.DC图形界面的启动打开一个终端窗口,写入命令 dv –db_mode,敲入回车。
则DC图形界面启动,如下图所示红框处是DC的命令输入框,以下在图形界面上的操作都可以在命令输入框中输入相应的命令来完成。
选择Help-----Man Pages可以查看DC的联机帮助。
相应指令:man。
例:man man表示查看man命令的帮助。
man create_clock表示查看creat_clock命令的帮助。
2.设置库文件选择File----Setup需要设置以下库文件,如下图。
相应指令:set search_path [list /tools/lib/smic25/feview_s/version1/STD/Synopsys \ /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys]set target_library { }set link_library { }set symbol_library { }点OK,设置完成。
3.读入verilog文件选择File---Read在打开文件对话框中选中要打开的文件,在这里我们选中文件。
在Log框中出现successfully字样表明读入文件成功。
相应命令:read_file点击红色箭头所指的按钮可以查看该电路的symbol图。
4.设置约束条件4.1设置时钟约束在symbol图上选中clk端口选择Attributes-----Specify Clock出来设置时钟约束的对话框,按下图设置,给时钟取名为clock,周期20ns,上升沿0ns,下降沿10ns。
DC常用命令及脚本
利用DC进行综合设计(2012-03-25 17:45:10)转载▼标签:dc综合杂谈DC的综合术语用于设计的ASIC的EDA工具往往是一套很复杂的软件,包括大量的工具和文档。
同时,每个公司都有自己的一套术...一、预备知识1.1、DC的综合术语用于设计的ASIC的EDA工具往往是一套很复杂的软件,包括大量的工具和文档。
同时,每个公司都有自己的一套术语。
只有理解了这些术语的含义才能很好的掌握这些工艺。
1 设计和设计对象设计是实现一定逻辑功能的电路的描述。
设计对象就是在设计中被DC命令、属性和约束操作或控制的对象。
常用的设计中包括元件、网络、端口、引脚和时钟。
另外,一个设计可能包括某个相同子设计或库元件的多个例化。
这时候被例化的设计或库元件称作参考。
在一个设计中查找某个对象的命令:find,这是在DC命令中很常用的命令。
如:列出当前设计的所有端口(ports):Dc_shell>findport OR find(port,”*”)列出所有包含”DW”字母的元件:dc_shell>find (cell,”*DW*”)列出cba_core库中的AN2门的所有接脚:dc_shell>find(pin,cba_core/AN2Read –format vhdl ./src/rtl/timer_tugw.vhdRead –format vhdl ./src/rtl/test_top.vhdCheck_error –vIf(dc_shell_status= =1){exit 1}Current_design test_topLinkCheck_error –vIf(dc_shell_status= =1){exit 1}Include pass0/constraint/test_top.conCheck_error –vIf(dc_shell_status= =1){exit 1}Set_max_area 0.0000Set_flatten falseEcho”***doing full compile for the partition ‘test_top’***”Sh date;Compile –map_effort mediumCheck_error –vIf(dc_shell_status= =1){exit 1}Sh date;Check_designCompile_top_acs_partion=tureCompile_top_all_paths=trueEcho”***doing boundary compile for the partition ‘test_top’***”Sh date;Compile –topUniquifyCheck_error –vIf(dc_shell_status= =1){exit 1}Sh date;Current_design test_topWrite –format db –hierarchy –output pass0/db/test_top.dbWrite –format verilog –hierarchy –output pass0/db/test_top.vWrite –format vhdl –hierarchy –output pass0/db/test_top.vhdReport_timing> pass0/report/test_top.timReport_area> pass0/report/test_top.areaReport_constraints> pass0/report/test_top.cstrReport_qor> pass0/report/test_top.qorReport_constraints–all_violators–verbose>pass0/report/test_top.vioquit脚本文件可以使用任何一个文本编辑工具产生或修改,也可以在dc_shell中执行write_scirpt 命令产生。
中文DC文档
max_transition可以定义为上升或下降电阻和线网负载的乘积,除了工艺库中提供的,用户也可自己定义,而实际采用的是最严格的那个定义值。
相比较max——transition并不直接控制线网的实际电容,而max_capacitance作为直接控制电容的限制而提出的。
但是其cost function是基于总的电容而不是瞬态时间。
二者可以同时定义。
没有违反约束的定义是:驱动pin或者是port的max capacitance大于或者是等于所有被驱动管的电容之和。
Max transition和max fanout 和max capacitance可以用来决定设计中的buffer个数。
―――影响时间参数优化约束―――对时间的约束优先于对面积的约束。
在满足对面积的约束之前,会尽量的考虑满足对时间约束条件的满足。
对时间的约束―――-时序电路中通过对时钟的定义来达到,set input delay和set output delay。
――――组合电路通过对set max delay和set min delay来设定点点之间的时间延迟,max delay通过设定set max delay和creat clock来达到; 而min delay通过设定set min delay和对保持时间的要求来达到。
其中的使用set fix hold来固定保持时间。
优化的过程就是减少代价函数的过程――包括设计规则代价函数和优化代价函数。
Max delay cost;min delay cost;max power cost;max area cost;其中max delay cost是代价函数中具有最优权。
Max delay cost的计算:最差的违背和每个路径组的权重之积――worst violation;path group;当设计不能满足时序单元的建立时间的要求的时候,违背就发生了。
一般,把由一个时钟约束的路径组合成一个路径组,这样每个时钟都建立了一个单独的路径组,而剩余的路径组合成默认的路径组,如果在没有时钟的情况下,所有的路径都组合成一个默认的路径组。
[教学]DC-ONE中文说明书
[教学]DC-ONE中文说明书DSP尖端科技的最新应用——Electro-Voice DC-ONE使用说明Electro-Voice的DC-ONE的到来是DSP尖端科技的最新应用。
DC-ONE是一款2路输入,6路输出的数字信号处理器,用于扬声器的控制和优化。
它是在EV DSP技术积累上开发的新一代EV数字信号处理器。
EV的数字处理广泛应用于奥运会场馆、世界杯赛场、Live 8和Live Earth演唱会等更多场合。
其无与伦比的性能得到证明。
多年来EV已经设立了卓越的信号处理解决方案的行业标准,这个高标准是以出众的动——如果使用其它设备实现同样态范围,传奇般的可靠性,和强大的DSP处理能力著称的功能将花费数倍的金钱和时间。
世界级的浮点处理能力确保现有EV扬声器的参数预设可以和未来的处理平台完全兼容,也允许在增加新功能后扩展DC-ONE的处理能力。
(DC-ONE前面板左半部)USB接口——使用DC-ONE编辑软件进行高级编辑控制。
LED指示灯——可靠的、精确的输入显示,确保精确的增益水平。
Edit——按下此键就可以在选择的设置和你编辑的设置之间转换。
Setup——便捷的系统配置:2路立体声、3路立体声、4路加全频、5路加全频或自由配置(DC-ONE前面板右半部)增强的处理导航——快速进入你需要设置的参数界面,不用在无穷尽的菜单中翻找。
设定输出的显示——包括增益衰减和配置模式。
DC-ONE编辑软件的特点DC-ONE编辑软件通过一个直观的用户界面,可以让用户对系统进行深入的控制。
功能上讲,DC-ONE编辑软件使用了图形算法和清晰度配色方案,这是从流行的录音软件的来到灵感。
图示的效果胜过千言万语,您不需要用文字和数字来编辑您想要的声音,现在图形界面使您可以立即以图形形式显示并控制各项参数和设置,这一切都是现场和实时的。
独特的延时调整界面——用户可以摆放系统的各组成部分,就像在现实空间中向前后向后移动他们一样。
DC综合操作流程_设置流程.
总流程1:库的设置2:设计的读入3:设置环境属性(1)set_operating_conditions(2)set_wire_load_model和set_wire_load_mode(3)set load(4)set_drive或者set_driving_cell4:设计规则约束(1)set_max_transtion(2)set_max_capacitance(3)set_max_fanout5:优化约束(1)create_clock(2)set_clock_uncertainty(3)set_clock_latency(4)set_input_delay(5)set_output_delay(6)set_false_path(7)set_multicycle_path(8)set_max_delay和set_min_delay(9)set_max_area7:一些编译命令及DC的输出格式注意:1:在前端设计中一般不做hold_time的约束,hold_time的约束可以在后端修复!!!总流程:1:对库进行基本设置,如下:设置完成后应该查看.synopsys_dc.setup里面库的设置和软件application setup处的设置是否一样!DC的初始化文件.synopsys.dc.setup 需要用ls –a显示,命令:more .synopsys.dc.setup查看文件内容!2:读入设计,两种方法:read和analyze+elaborateAnalyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下;Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体缺省情况下,elaborate读取的是work目录中的文件中的第一个库的工作环境作为优化时使用的工作环境。
(1)set_operating_conditions:工作条件包括三方面—温度、电压以及工艺;工作条件一般分三种情况:best case, typical case, worst case图形界面:#1:先进入the symbol view of the top界面,选择top模块#2:attributes—operating environment—operating conditions命令方式:#1:可通过report_lib libraryname命令来查看,如下图查看的是slow.db 库的工作条件,则使用命令:report_lib slow,右边是report_lib fast。
DC完整手册
DC高效能移動式滅火系統(型號)使用說明書目錄產品特性……………………………………..P.3功能說明……………………………………..P.4各部名稱機體附加配備………………………………..P.5 控制面板………………………………………..P.6安全注意事項………………………………..P.7 操作說明………………………………………..P.8 關閉說明………………………………………..P.10 保固書………………………………………..P.12產品規格………………………………………..P.14產品特性:史上第一款最輕量化與機動性的高效能滅火設備輕便與機動性兼具的高壓泡沫滅火設備。
具有無懈可擊的滅火效能以及令人難以置信的輕量尺寸,讓您不再懼怕火災意外的發生。
本設備操作簡單、使用方便,所產生的高壓細霧泡沫屏障,保護滅火者人身安全提升滅火的安全及效率。
為之驚艷的滅火效能特製直流驅動高壓噴射泵系統,搭配外接水源,可讓系統達到滅火效能,透過特製的渦輪水槍噴射出高壓細霧泡沫,有效用於覆蓋火勢,將火勢瞬間降溫及撲滅。
領先業界的輕量化系統改變一般用柴油引擎搭配傳統電機的作法,特別設計直流電池供電與小型電機系統的搭配,大幅度減輕設備的重量與體積;即使一個13歲小女生也能輕鬆的操作,將火勢撲滅。
高度簡易的操作方式整台設備以開關與按鈕式切換,操作簡單不費力。
移動式設備有效涵蓋範圍在機台不移動狀況下,連接30公尺長水帶,涵蓋範圍也能達到半徑3800平方公尺以上面積;如搭配多處水源接點更能擴大滅火範圍。
廣泛的應用場所各類人員密集場所、辦公及住宅大樓、各廠區、倉庫、停車場、百貨商場、大眾運輸工具(鐵路、船舶、飛機) 、公共交通空間於各個空間或樓層間放置1~2台SEM@T DC滅火系統,在發現火災時,任何人員皆能輕易啟用該設備將火源撲滅,此系統採用環保型泡沫原液適用(A、B、C類火災);可減少一般自動灑水系統造成機具或硬體設備之損害,改善滅火器持續性不足的缺點,將生命財產安全之風險降到最低。
DC使用说明
Design Compiler使用说明一.软件说明Design Compiler是synopsys的综合软件,它的功能是把RTL级的代码转化为门级网表。
综合包括转译(Translation),优化(Opitimization),映射(Mapping)三个过程。
在转译的过程中,软件自动将源代码翻译成每条语句所对应的功能模块以及模块之间的拓扑结构,这一过程是在综合器内部生成电路的布尔函数的表达,不做任何的逻辑重组和优化。
优化:基于所施加的一定时序和面积的约束条件,综合器按照一定的算法对转译结果作逻辑优化和重组。
在映射过程中,根据所施加的一定的时序和面积的约束条件,综合器从目标工艺库中搜索符合条件的单元来构成实际电路。
DC有两种界面,图形界面通过敲入design vision&调用出来,另一种命令行界面通过dc_shell-t调用。
建议初学者使用图形界面,因为图形界面比较容易上手;业界的人士比较青睐命令行界面,因为其所耗的资源少,并且将所用的命令写成综合脚本的形式,便于查阅。
因为门级和代码级不同,代码级考虑的是理想情况,但是实际电路不是这样的,它有门级的延时,线的延时,信号的转换时间,甚至时钟信号到达各个触发器的时间不相等。
基于这些考虑,DC通过施加约束,模拟实际环境,根据实际情况得出门级网表。
因此如何适当的施加约束是DC的关键所在。
二.库的配置通过上述说明可知,DC需要通用库和工艺库的支持,DC用到的工艺库是.db或者是.lib格式的,其中.lib格式的文件是可读得,通过此文件可以了解库的详细信息,比如说工作电压,操作温度,工艺偏差等等。
.db格式的库是二进制的,不可读。
.db格式的库由.lib格式的库通过命令read_lib生成。
目标工艺库(Target_library):是指将RTL级的HDL描述到门级时所需的标准单元综合库,它是由芯片制造商(Foundry)提供的,包含了物理信息的单元模型。
DC DC 转换器产品说明书
DC/DC Conver ter Specifications (measured @ ta= 25°C, nominal input voltage, full load and after warm-up)E224736UL-60950-1 CertifiedEN-55022 Certified20 Watt 2:11.6“ x 1“Ribbed StyleSingle OutputSelection GuidePart Input Input Output Output Efficiency Max. CapacitiveNumber VoltageRange Current Voltage Current typ. Load[VDC] [mA] [VDC] [mA] [%] [µF]RPP20-2412S 18-36 940 12 1670 90 1000DescriptionThe RPP20 series 2:1 input range DC/DC converters are ideal for high end industrial applications and COTS Militaryapplications where a very wide operating temperature range of -45°C to +115°C is required. Although the case sizeis very compact, the converter contains a built-in EMC filter EN-55022 Class B without the need for any externalcomponents. The RPP20 is available in a ribbed case style for active cooling. They are UL-60950-1 certified.FeaturesICETechnology*• +115°C Maximum Case Temperature• -45°C Minimum Case Temperature• Built-in EMC Filter• Ribbed Case Style• 2250VDC Isolation• EN-55022 Class B RPP20-2412S* ICE TechnologyICE (Innovation in Converter Excellence)uses state-of-the-art techniques to minimiseinternal power dissipation and to increasethe internal temperature limits to extend theambient operating temperature range to themaximum.Notes:Note1: Typical values at nominal input voltage and full load.Only the single output converters have a trim function that allows users to adjust the output voltage from +10% to -10%, please refer to the trim table that follow for details. Adjustment to the output voltage can be used with a simple fixed resistor as shown in Figures 1 and 2. A single fixed resistor can increase or decrease the output voltage depending on its connection. Resistor should be located close to the converter. If the trim function is not used, leave the trim pin open.Trim adjustments higher than the specified range can have an adverse effect on the converter´s performance and are not recommended. E xcessive voltage differences between output voltage sense voltage, in conjunction with trim adjustment of the output voltage; can cause the OVP circuitry to activate. Thermal derating is based on maximum output current and voltage at the converter´s output pins. Use of the trim and sense function can cause output voltages to increase, thereby increasing output power beyond the converter´s specified rating. Therefore: (Vout at Pins) X (Iout) ≤ rated output power.PROTECTIONSParameterConditionValueOutput Power Protection (OPP)current limit 120% typ.Over Voltage Protection (OVP)10% load 120% typ.Over Temperature Protection (OTP)case temperature 120°C, auto-recovery Isolation Voltage I/P to O/P , at 70% RH I/P to Case, O/P to Case 2250VDC / 1 Minute 1500VDC / 1 MinuteIsolation Resistance I/P to O/P , at 70% RH100M W min.Isolation CapacitanceI/P to O/P1500pF typ.Specifications (measured @ ta= 25°C, nominal input voltage, full load and after warm-up)Notes:Note2:This Power Module is not internally fused. A input fuse must be always used. Recommended Fuse: T1.6AREGULATIONSParameterConditionValueOutput Voltage Accuracy 50% load ±1.5% max.Line Voltage Regulation low line to high line ±0.3% max.Load Voltage Regulation 10% to 100% load±0.5% max.Transient Response 25% load step change, ΔIo/Δt=2.5A/us 800µs typ.Transient Peak Deviation25% load step change, ΔIo/Δt=2.5A/us±2%Vout max.Trimming Output VoltageFigure 2. Trim connections to decrease output voltage using fixed resistorsFigure 1. Trim connections to increase output voltage using fixed resistors+V IN -V INCTRL +V OUT R TRIM UP-V OUTTRIMLOAD+V IN -V INCTRL +V OUT R TRIM DOWN-V OUTTRIMLOADTrim down resistor value (K W )Vout-1%-2%-3%-4%-5%-6%-7%-8%-9%-10%12VDC 322.2137.281.153.135.524.016.09.75.01.3Trim up resistor value (K W )Vout1%2%3%4%5%6%7%8%9%10%12VDC 238.7113.168.246.332.122.415.49.86.53.2ENVIRONMENTALParameterConditionValueRelative Humidity95%, non condensing Temperature Coefficient ±0.04% / °C max.Thermal Impedance natural convection, mounting at FR4(254x254mm) PCB vertical horizontal7.2°C/W 7.8°C/WOperating Temperature Range start up at -45°C-45°C to (see calculation)Maximum Case Temperature +115°CMTBFaccording to MIL-HDBK-217F (+50°C G.B.)according to BellCore-TR-332 (+50°C G.B.)768 x 103 hours 1572 x 103 hourscontinued on next pageDerating Graph(Ta= +25°C, natural convection, typ. Vin and vertical mounting)CalculationSpecifications (measured @ ta= 25°C, nominal input voltage, full load and after warm-up)302535404550102030405060708090100Load [%]C a s e T e m p e r a t u r e [°C ]105060708090100203040506070809010018Vin24Vin 36Vin Load [%]E f f i c i e n c y [%]R thcase-ambient = 7.2°C/W (vertical) T case = Case Temperature R thcase-ambient = 7.8°C/W (horizontal)T ambient= Environment TemperatureP dissipation = Internal lossesR thcase-ambient = T case - T ambientP IN = Input PowerP dissipationP OUT = Output Powerh = Efficiency under given Operating Conditions P dissipation = P IN - P OUT = P OUTapp- P OUTapp R thcase-ambient = Thermal ImpedancehPractical Example:Take the RPP20-2412S with 50% load. What is the maximum ambient operating temperature? Use converter vertical in application.Eff min = 89% @ V nom P OUT = 20WP OUTapp = 20 x 0.5 = 10W P dissipation = P OUTapp- P OUTapp R th = T casemax - T ambient --> 7.2°C/W = 115°C - T ambienthP dissipation1.24Wh = ~88% (from Eff vs Load Graph)T ambientmax = 106.1°CP dissipation = 10- 10 = 1.24W0.89Specifications (measured @ ta= 25°C, nominal input voltage, full load and after warm-up)DC/DC Conver terSpecifications (measured @ ta= 25°C, nominal input voltage, full load and after warm-up)RPP20-2412SSeriesPACKAGING INFORMATIONParameterTypeValuePackaging Dimension (LxWxH)Tube160.0 x 45.0 x 16.0mmPackaging Quantity 5pcsStorage Temperature Range-55°C to +125°CThe product information and specifications may be subject to changes even without prior written notice.The product has been designed for various applications; its suitability lies in the responsibility of each customer. The products are not authorized for use in safety-critical applications without RECOM’s explicit written consent. A safety-critical application is an application where a failure may reasonably be expected to endanger or cause loss of life, inflict bodily harm or damage property. The applicant shall indemnify and hold harmless RECOM, its affiliated companies and its representatives against any damage claims in connection with the unauthorizeduse of RECOM products in such safety-critical applications.。
dc教程(word版)
dc教程(word版)第⼀章基本概念1.1 启动⽂件启动⽂件⽤来指定综合⼯具所需要的⼀些初始化信息。
DC使⽤名为“.synopsys_dc.setup”的启动⽂件,启动时,DC会以下述顺序搜索并装载相应⽬录下的启动⽂件:1)、DC的安装⽬录;2)、⽤户的home⽬录;3)、当前启动⽬录。
注意:后装载的启动⽂件中的设置将覆盖先装载的启动⽂件中的相同设置。
下⾯是⼀个DC启动⽂件的实例,它包含了⼏乎所有重要的设置,下⽂将结合该实例解释启动⽂件中各项设置的具体含义。
例1-1(⼀个DC启动⽂件):search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” }search_path= search_path + { “~/risc32/synthesis/libraries” }target_library={ tcb773stc.db }synthetic_library={dw_foundation.sldb}link_library = { “*”, dw_founda tion.sldb, tcb773stc.db }symbol_library = { tcb773s.sdb }synlib_wait_for_design_license = {"DesignWare-Foundation"}alias rt “report_timing”designer= XXXXXcompany= “ASIC Lab,Fudan Univ.”●search_path指定了综合⼯具的搜索路径。
●target_library为综合的⽬标库,它⼀般是由⽣产线提供的⼯艺相关的库。
synthetic_library是综合库,它包含了⼀些可综合的与⼯艺⽆关的IP。
dw_foundation.sldb 是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调⽤这些IP有助于提⾼电路性能和减少综合时间。
DC教程
在家找工作时,苦闷之中学习整个IC的流程,希望和我一样的人们不再苦闷。
我想我这个教程一定是业界数字IC学习的圣经,但我不搞数字IC。
这让人觉得我一点不专业--万如意做数模混合的IC我个人所用的工具组合是:VirtuosoIC5141 + Synopsys Design200809+SOC Encounter5.2 + Calibre200802 另加 laker3v2不要以为我那些软件都会,我是总结整个混合IC中的各个环节和流程。
我水平不行只能讲我知道的糊涂酱,大家自己看着办。
工具作用和文件格式:Virtuoso 不用说是用来画原理图和版图的工具还可以集成dracula进行验证。
还可做模拟仿真........她主要建模拟单元和数字单元。
DC 用来做数字综合,把数字前端的verilog文件,变成和库单元对应的物理对应实现.v文件,当然这里的文件要经过前仿真,如果前仿真都不对,那就别想做ic了。
Encounter 用来把综合后的.v文件变成和版图连接的自动布线工具。
Calibre 用来查错。
Liberty (.lib) 编译转换DC 工具(.db )文件模拟部分也要抽象生成 还要抽象生成(.lef )这个给自动布线工具encunter 使用-------------------------需要.db 和.v 文件,还有其他文件生成systhesis 后的文件,也是.v 文件需要.lef 和编译过的.v 当然还有其他单元(.lib)和.延时约束(.sdc)生成gds 文件,反向提取做后放DC 图形可视化教程1. 首先几个库的作用(tcl 中几个关键词汇)A 。
Search_path 这是dc 用来查找库和文件的路径。
B 。
Target_library 目标库这是我们的所用工艺工厂提供的.db文件。
C。
Link_library 这个库有神奇的作用。
这个库里的东西是不会综合的。
一般特殊的东西我门放在这个里面。
DC简明教程
DC简明教程(转)1.1 什么是DC?DC(Design Compiler)是Synopsys的logical synthesis优化工具,它根据design description和constraints自动综合出一个优化了的门级电路。
它可以接受多种输入格式,如硬件描述语言、原理图和netlist等,并产生多种性能报告,在缩短设计时间的同时提高读者设计性能。
1.2 DC能接受多少种输入格式?支持.db, .v, .vhd , edif, .vgh等等,以及.lib等相关格式。
1.3 DC提供多少种输出格式?提供.db, .v, .vhd, edif, .vgh等,并可以输出sdc, .sdf等相关格式文件。
1.4 DC的主要功能或者主要作用是什么?DC是把HDL描述的电路综合为跟工艺相关的门级电路。
并且根据用户的设计要求,在timing和area,timing和power上取得最佳的效果。
在floorplanning和placement和插入时钟树后返回DC进行时序验证1.5 如何寻找帮助?帮助可以用3种求助方式:1. 使用SOLD,到文档中寻求答案2. 在命令行中用man+ DC命令3. 在命令行中用info+ DC命令1.6 如何找到SOLD文档?SOLD文档可以在teminal中输入sold&执行。
$> sold&或者用命令 which dc_shell找到dc的安装目录。
找到online目录。
1.7 如何配置DC?综合设置提供必要的参数给DC,使工具能够知道进行综合时所需要的必要的信息,即重要参数:工艺库,目标库,标志库等等。
要在.synopsys_dc.setup上设置好这些参数。
而.synopsys_dc.setup要在三个目录下有说明,一个是synopsys的安装目录,一个是用户文件夹,最后一个是工程目录。
由后一个设置覆盖前一个文件。
参数包括:search_path, target_library, link_library, symbol_library1.8 target_library 是指什么?target_library是在synthesis的map时需要的实际的工艺库1.9 link_library如何指定?链接时需要的库,通常与library相同,设置时,需要加“*”,表示内存中的所有库。