quartus_II_图形设计过程教程
ALtera Quartus II 原理图设计方法
CLICK
CLICK
3
电子设计自动化EDA
P97,第3段
CLICK
4
电子设计自动化EDA
CLICK
5
电子设计自动化EDA
6
电子设计自动化EDA
原理图设计
CLICK
7
电子设计自动化EDA
8
电子设计自动化EDA
CLICK
Libraries
primitives
logic
9
电子设计自动化EDA
17
电子设计自动化EDA 波形仿真
CLICK
18
电子设计自动化EDA
Mouse Right Click
19
电子设计自动化EDA
CLICK
20
电子设计自动化EDA
CLICK CLICK
CLICK
21
电子设计自动化EDA
CLICK
22
电子设计自动化EDA
CLICK
23
电子设计自动化EDA
CLICK
在此区域连续单击鼠标右键
24
电子设计自动化EDA
CLICK
按住鼠标左键,移动,选定一个区域
25
电子设计自动化EDA
CLICK
CLICK
26
电子设计自动化EDA
保存
27
电子设计自动化EDA
28
电子设计自动化EDA 引脚分配
CLICK
CLICK
29
电子设计自动化EDA
DOUBLE CLICK
DOUBLE CLICK
30
电子设计自动化EDA
输入引脚编号:240
编译
31
电子设计自动化EDA
QuartusII软件使用及设计流程
时序优化
时序分析
在Quartus II中进行时序分析,确保设计满足时序要求,找出关键 路径并优化。
延迟调整
通过调整逻辑单元的时序参数,减小关键路径的延迟,提高时钟频 率。
布局优化
合理安排逻辑单元的位置,减小信号传输延迟,提高时序性能。
面积优化
优化算法
采用高效的算法和优化策略,减小设计规模, 降低面积成本。
低功耗硬件选择
选择低功耗硬件器件和IP核,从硬件层面降低功 耗。
06
Quartus II实际应用案 例
数字钟设计案例
总结词
数字钟设实现数字钟的原理图 设计和编程。
详细描述
首先,在Quartus II软件中创建一个新的工程,选择合适的FPGA芯片型号。然后,使 用原理图输入方式设计数字钟电路,包括分频器、计数器、译码器等模块。接着,进行 仿真测试以确保设计正确。最后,将设计文件下载到FPGA芯片中,完成数字钟的硬件
保存配置
完成配置后,保存配置文件以便以后使用。
许可证激活与验证
获取许可证文件
从Altera官网或授权合作伙伴处获取Quartus II软件 的许可证文件。
激活许可证
运行许可证激活程序,输入许可证文件中的激活码进 行激活。
验证许可证
启动Quartus II软件,验证许可证是否有效,确保软 件正常使用。
完成串口通信的硬件实现。
THANKS FOR WATCHING
感谢您的观看
Quartus II软件中提供了大量的IP核,用户可以直接调用这些IP核进行设计, 避免了重复造轮子,提高了设计效率。
IP核定制
对于一些特殊需求,用户可以通过定制IP核的方式实现。Quartus II软件提供了 IP核定制工具,用户可以根据需求对IP核进行修改和定制,以满足特定设计要求。
QuartusⅡ设计举例
二、QuartusⅡ的图形用户界面启动QuartusⅡ软件后,点击File -> Open Project,调入一个项目,就进入图1-2所示图形用户界面图。
从图中我们可以看到整个界面可以分为八个区,分别是:图1-2 QuartusⅡ的图形用户界面1. 菜单区域:包括两部分,第一行是主菜单,第二行是常用快捷键。
2. 主工作区域:即输入编辑区。
3. 元件调用菜单:输入需要调用元件库里的器件,并选择连线以及文字标注等。
4. Project Navigator窗口:项目浏览器用于察看正在编辑的项目的信息。
5. Status窗口:系统在编译过程中的具体进度显示。
6. Change Manager窗口:用来跟踪和管理后编译,看是否达到设计目标。
7. Messages窗口:用于反馈在进行编译时的提示信息。
8. Tcl console窗口:工具命令语言(tool command language)输入窗口,Tcl是一种EDA 开放的工业标准脚本。
三、设计实例下面利用器件库中的存储器模块,采用原理图输入方式,来设计一个简单的LPM_ROM,并通过编辑器在ROM中存入新内容。
通过这个设计,我们将初步了解QuartusⅡ的设计的流程和步骤。
1.建立工程A. 建立文件夹如 D:\lpm_rom ,注意:文件夹(还有文件名)名称中不能包含中文和空格。
B. 新建一个存储器初始化(.mif)文件。
打开QuartusII,在顶部菜单中选File -> Newother files ->memory initialization file ,单击OK,在弹出的对话框中输入字数64和字长 8,单击OK, 打开存储器初始化编辑窗口。
利用View菜单命令,改变地址或字长的显示格式等,选择要编辑的字(反白显示),直接输入内容。
然后点File ->save as,在弹出的对话框中选择“保存在” D:\lpm_rom,输入文件名Mif1,文件名后缀选择.mif,取消最下面一行的Create new project based on this file 的选择框的对勾,点击保存完成。
QuartusⅡ软件工具设计步骤啊
QuartusⅡ软件工具设计步骤一、QuartusⅡ原理图输入法应用数字逻辑电路的基本知识,使用QuartusⅡ原理图输入法可非常方便地进行数字系统的设计。
应用QuartusⅡ原理图输入法,还可以把原有的使用中示规模的通用数字集成电路设计的数字系统移植到FPGA或CPLD中。
(一)建立工程文件夹1.新建一个文件夹作为工程项目目录首先在计算机中建立一个文件夹作为工程项目目录,此工程目录不能是根目录,比如D:,只能是根b录下的b录,比如D:\EDA _book \code\Chapter3\BiaoJueQi。
2.建立工程项目运行Quartus Ⅱ软件,执行File=>New Project Wizard 命令,建立工程。
在界面中单击Next按钮。
在所弹出的New Project Wizard对话框中,填写Directory,Name, Top-Level Entity等项目。
其中第一、第二、第三个文本框分别是工程项目目录、项目名称和项目顶层设计实体的名称。
单击Next按钮,出现添加工程文件的对话框。
若原来己有文件,可选择相应文件,这单直接单击Next进行下一步,选择FPGA器件的型号。
在Family下拉框中,根据需要选择一种型号的FPGA,比如Cyclone系列FPGA。
然后在“Available devices:”中根据需要的FPGA 型号选择FPGA型号,比如“EP1C3T144C8”,注意在Filters一栏中选中“Show Advanced Devices”以显示所有的器件型号。
再单击Next按钮,出现对话框。
对于弹出的其他EDA工具的对话框,由于我们使用Quartus Ⅱ的集成环境进行开发,因此不要作任何改动。
单击Next进入工程的信息总概对话框。
单击Finish按钮就建立了一个空的工程项目。
二、编辑设计图形文件1.建立原理图文件执行File => New 命令,弹出新建文件对话框。
QuartusII设计流程
电 源 开 关
K1
散 热 器
源 电 测 检
C38
口 接 标 鼠
FUSE ByteBlasterMV
口 接 路 电 示 指 式 模
50M晶 振
码 数 8
码 数 7
码 数 6
码 数 5
码 数 4
码 数 3
码 数 2
码 数 1
D8
D7
D6
D5
D4
D3
D2
D1 S1
器 声 扬
计 率 频
口 接 载 下 线 在
结构模式 NO.1 。适用于作加法器、减法器、比较周期计,计数器等等
SPEAKER
结构模式NO.5。
(1) 结构图NO.0此电路可用于设计频率计、周期计、计数器等。 (2) 结构图NO.1:适用于作加法器、减法器、比较器或乘法器等。 (3) 构图NO.2:可用于作VGA视频接口逻辑设计,或使用数码管8至数 码管5共4个数码管作七段显示译码方面的实验;
2、四个开关控制一盏灯的逻辑电路 NO.5
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sxy is port(k0,k1,k2,k3:in std_logic; Dout:out std_logic ); end entity sxy; architecture art of sxy is signal k:std_logic_vector(3 downto 0); begin k<=k3&k2&k1&k0; process(k0,k1,k2,k3) begin case k is when "0000" =>Dout<='0'; when "0001" =>Dout<='1'; when "0011" =>Dout<='0'; when "0010" =>Dout<='1'; when "0110" =>Dout<='0'; when "0111" =>Dout<='1'; when "0101" =>Dout<='0'; when "0100" =>Dout<='1'; when "1100" =>Dout<='0'; when "1101" =>Dout<='1'; when "1111" =>Dout<='0'; when "1110" =>Dout<='1'; when "1010" =>Dout<='0'; when "1011" =>Dout<='1'; when "1001" =>Dout<='0'; when "1000" =>Dout<='1'; when others =>Dout<='X'; end case; end process; end architecture art;
QuartusII及其原理图设计
QuartusII及其原理图设 计
45
QUARTUS II –习题一
1-7 基于Quartus II,用74194(4位双向移位寄存器)设 计一个“”序列产生器电路,进行编译和仿真,查看仿真结果。 1-8 基于Quartus II软件,用D触发器和适当的门电路实现 一个输出长度为15的m序列产生器,进行编译和仿真,查看 仿真结果。
QUARTUS II –Functional Simulation功能仿真
QuartusII及其原理图设 计
35
QUARTUS II –Functional Simulation功能仿真
QuartusII及其原理图设 计
36
QUARTUS II –Timing Simulation功能仿真
时序仿真:通过功能仿真验证设计文件的正确性, 就压考虑综合电路遇到的时延问题。时序仿真同时 验证电路的逻辑和时序正确性。
1、图表模块编辑是主要的顶层设计的主要方法 2、原理图编辑是传统的设计输入方法 3、用户可以利用加入QUARTUS II提供的LPMs,宏功 能等函数以及用户自己的库函数来设计 4、提供“智能”的模块链接和映射
QuartusII及其原理图设 计
6
QUARTUS II –图表模块设计流程
QuartusII及其原理图设 计
16
QUARTUS II QUARTUS II –原理图设计例子
4、进行软件仿真Example.vwf:左侧右键->Insert Node or Bus(或直接双击),在对话框中选择Node Finder,导入输入输出端
双击
QuartusII及其原理图设 计
18
QUARTUS II –原理图设计例子
QuartusII原理图设计
QuartusII原理图设计一.实验项目Quartus II原理图设计二.实验目的1.学习EDA集成工具软件Quartus II的使用;2.熟悉基于PLD的EDA设计流程;3.学会使用原理图设计小型数字电路;4.掌握对设计进行综合、仿真、指定引脚和配置下载的方法。
三.实验设备及工具Quartus II 18.1四.实验内容与步骤0、准备工作:创建Quartus II项目菜单“File/New Project Wizard”,逐步设置:工作目录、项目名和实体名;是否添加设计文件;选择器件;是否使用第三方EDA工具。
1、设计输入:采用原理图输入方式新建文件(菜单File/New...)选择原理图文件类型(Block Diagram/Schematic File),扩展名*.bdf放置器件:在原理图的空白处双击鼠标左键(或者工具按钮)->选择元件库->选择元件->双击放置元件放置端口:input、output连线:将鼠标移到一个端口,则鼠标自动变为‘¬’形状。
一直按住鼠标的左键并将鼠标拖到第二个端口。
放开左键,一条连接线就画好了。
连线需要转折,则松开鼠标按钮,再按下按钮继续拖动即可。
修改端口名称:注意,一个设计中不允许有重复的端口名。
保存文件:最好先建一个子目录,指定文件名,把文件保存在这个目录下。
2、编译、综合在Processing菜单选择Start Compilation项(或者紫色的编译按钮),则自动进行编译,并且软件左侧出现Status状态窗口,给出编译步骤和执行进度。
若设计无错误,则给出编译报告和其他处理步骤的报告。
若有错误,则根据错误提示修改设计文件,重新编译。
3、进行仿真:功能仿真、时序仿真新建“激励信号”的波形文件:(菜单File/New...)选择波形文件类型(Wector Waveform File),扩展名*.vwf,打开波形编辑窗口选择要仿真的节点:在“Name”列空白处,右键菜单,如上图所示。
quartus Ⅱ设计流程
quartus Ⅱ设计流程下载温馨提示:该文档是我店铺精心编制而成,希望大家下载以后,能够帮助大家解决实际的问题。
文档下载后可定制随意修改,请根据实际需要进行相应的调整和使用,谢谢!并且,本店铺为大家提供各种各样类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,如想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by theeditor. I hope that after you download them,they can help yousolve practical problems. The document can be customized andmodified after downloading,please adjust and use it according toactual needs, thank you!In addition, our shop provides you with various types ofpractical materials,such as educational essays, diaryappreciation,sentence excerpts,ancient poems,classic articles,topic composition,work summary,word parsing,copy excerpts,other materials and so on,want to know different data formats andwriting methods,please pay attention!Quartus Ⅱ是一款常用的数字电路设计软件,以下是其设计流程:1. 新建工程:在 Quartus Ⅱ中,选择“File”菜单,然后选择“New Project Wizard”。
quartus II 图形设计过程教程
Quartus II 的使用 (1)1 工程建立 (1)2 原理图的输入 (4)3 文本编辑(verilog) (15)4 波形仿真 (17)Quartus II 的使用在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。
进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。
图 1.1 Quartus II 管理器1.1 工程建立使用 New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。
还可以指定要在工程中使用的设计文件、其它源文件、用户库和 EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。
建立工程的步骤如下:(1)选择File菜单下New Project Wizard ,如图1.2所示。
图 1.2 建立项目的屏幕(2)输入工作目录和项目名称,如图1.3所示。
可以直接选择Finish,以下的设置过程可以在设计过程中完成。
图 1.3 项目目录和名称(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。
图 1.4 加入设计文件(4)选择设计器件,如图1.5所示。
图 1.5 选择器件(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。
图 1.6 选择EDA 工具(6)建立项目完成,显示项目概要,如图1.7所示。
图 1.7 项目概要1.2 原理图的输入原理图输入的操作步骤如下:(1)选择File 菜单下 New ,新建图表/原理图文件,如图1.8 所示。
图 1.8 新建原理图文件(2)在图1.9的空白处双击,屏幕如图1.10所示:(3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。
此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图 1.11)单击鼠标左键,使其固定;(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input右侧待连线处单击鼠标左键后,再移动到D触发器的左侧单击鼠标左键,即可看到在input和D触发器之间有一条线生成;图1.9 空白的图形编辑器图1.10 选择元件符号的屏幕图1.11 放置所有元件符号的屏幕(5)重复(4)的方法将DFF和output连起来,完成所有的连线电路如图1.12所示;(6)在图1.12中,双击input_name使其衬低变黑后,再键入clk,及命名该输入信号为clk,用相同的方法将输出信号定义成Q;如图1.13所示。
QuartusII原理图设计方法
一、Quartus II设计流程介绍 6)显示由新建工程指南建立的工程文件摘要,在界面顶部标题栏 将显示工程名称和存储路径,如图2.7所示。
图2.7 新建工程摘要对话框
§ 2.1
二、编辑设计图形文件
1.建立原理图文件
Quartus II原理图输入法
图2.8 执行File-New…命令
§ 2.1
1.建立原理图文件
3、设置输入信号波形 先用鼠标左键单击并拖动鼠标选择要设置的区域,单击工具箱 中按钮Forcing High(1)则该区域变为高电平。
图2-45 设置后的波形
§ 2.1
四、时序仿真设计文件
Quartus II原理图输入法
4、进行功能仿真
设置输入信号后保存文件,文件名默认,执行 Processing-Start simulation命令,进行仿真
II设计流程介绍
2)选择项目存放目录、填写项目名称,注意项目顶层设计实 体名称必须和项目名称保持一致。
工程项目目录 项目名称
项目顶层设计实体名称
图2.3
工程项目基本设置P39
§ 2.1
Quartus II原理图输入法
一、Quartus II设计流程介绍 3)完成上述操作后,按Next按钮将会弹出加入文件对话框,如图 2.4所示。
图2-46执行 编译命令
§ 2.1
四、时序仿真设计文件
4、进行功能仿真
Quartus II原理图输入法
§ 2.1
五、生成元件符号
Quartus II原理图输入法
执行File-Create/Update-Create Symbol File for Current File命令 将本设计电路封装成一个元件符号,供以后在原理图编辑器下进行 层次设计时调用。
实验二 QuartusII原理图设计方法
实验二QuartusII原理图设计方法一、实验目的1.熟悉利用QuartusII的原理图输入方法设计简单组合电路;2.掌握层次化设计方法。
二、实验任务1.使用原理图设计方法完成半加器和全加器的设计。
(1)打开QuartusII软件新建工程。
进入创建项目(project)向导第一行是项目地址、第二行是项目名称、第三行是该项目顶层实体的名称。
没有要添加的文件,点击next选择器件类型选择仿真工具进入索引页面(summary),观察是否有错误,没有错误。
点击finish,完成项目的创建。
选择菜单file→New,弹出对话框,选择Block Diagram/Schematic File,点击OK直接在原理图上双击,出现对话框,在name栏中输入元件名。
点击ok。
依次添加其他其他元件完成半加器设计。
点击工具栏中的compile,进行编译正确无误后进入下一步骤。
(3)使用ModelSim-Altera仿真首先我们可以点击菜单栏的Processing→Start→Start Test Bench Template Writer,随后弹出提示“Test Bench Template Writer was successful”,那么我们就已经创建了一个Verilog 测试脚本,在此脚本中,我们可以设计一些测试激励输入并且观察相应输出,借此我们就能够验证原工程的设计代码是否符合要求。
我们打开工程路径下的/simulation/modelsim 文件夹,可以看到一个名为h_adder.vt 的测试脚本文件创建了。
我们可以在Quartus II 中打开这个文件,并且将其重新编辑如下:完成测试脚本编写,我们接着需要打开菜单栏的Assigement→Settings 选项,选择Category→EDA Tool Setting→Simulation,在右边的相关属性中做如图所示的设置,在选中Comple test bench 后,我们要点击后面的Test Benches…按钮去选择刚才创建的测试脚本。
QuartusII操作简略入门
5. 将设计项目设置成工程和时序仿真
f_adder.bdf工程设置窗
5
5. 将设计项目设置成工程和时序仿真
加入本工程所有文件
6
5. 将设计项目设置成工程和时序仿真
全加器工程f_adder的仿真波形
7
步骤6:引脚锁定
8
4.4 设计实例
第5步:给输入、输出引脚分配引脚号码,编程下载
(1)对顶层图形文件counter_7seg.bdf 进行引脚锁定;
键2则对应 10K10的第 6脚,可输 入ain,依 次 类推。
13
根据电路结构模式NO.5 查上表,EPF10K10器件对应:
加数 ain : PIO1 -> 键2 \D10对应引脚 -> 6 被加数 binB : PIO0 -> 键1 \D9对应引脚 -> 5
和 sum : PIO8 -> D1对应引脚 -> 17 低位进位 cin : PIO2 -> 键3 \D11对应引脚 -> 7 高位溢出位 cout : PIO9 -> D2 对应引脚 -> 18
键1定义 为:bin
11
显示cout 这里插上的是 10K10目标板
显示电路 模式NO.5
显示sum
按此键选择 电路模式
输入cin
输入ain 输入bin12
对于10K10器件 选此列
对于电路 模式5,键 1对应于 10K10的第 5脚,可输 入bin
19
引脚锁定(实验1)
模式:NO.1
加数a[7..0] : 键4,键3 : PIO15 ~ PIO8 (25,24,23,22,21,19,18,17) ——显示于数码管4,3
quartus2的原理图设计及应用
Quartus2的原理图设计及应用1. 介绍Quartus2是一款由Intel(原Altera)公司开发的用于FPGA(现场可编程逻辑门阵列)设计的集成开发环境(IDE)。
它提供了丰富的工具和功能,使得原理图设计和FPGA应用开发变得更加易于实现。
本文将介绍Quartus2的原理图设计流程以及其在实际应用中的一些常见用途。
2. Quartus2的原理图设计流程Quartus2的原理图设计流程主要包括项目创建、电路图绘制、电路仿真和综合、布局与布线以及生成最终的比特流文件等步骤。
2.1 项目创建在Quartus2中创建一个项目是第一步,可以通过选择项目名称、项目文件夹路径等信息来进行项目设置。
在项目创建时,需要选择目标FPGA器件的型号和设计目标等参数,以便Quartus2能够进行正确的综合和布局布线。
2.2 电路图绘制在项目创建完成后,可以使用Quartus2提供的电路图设计工具来进行电路图绘制。
电路图设计工具提供了丰富的元件库和线连接工具,可根据需求绘制各种逻辑电路和模块。
2.3 电路仿真与综合完成电路图绘制后,可以使用Quartus2提供的仿真工具对设计的电路进行仿真,并验证其功能和性能。
通过仿真结果,可以进一步调整和优化电路设计。
在电路仿真验证通过后,可以进行综合操作,将电路转化为FPGA的可编程逻辑。
Quartus2的综合工具会根据目标FPGA器件的特性和约束,生成逻辑元件的门级描述。
2.4 布局与布线综合完成后,需要进行布局和布线,将逻辑元件映射到FPGA的实际物理位置上,并通过连线完成逻辑之间的连接。
Quartus2的布局布线工具会根据目标FPGA 器件的布局规则和约束,自动完成布线。
2.5 生成比特流文件布局布线完成后,最后一步是生成最终的比特流文件(bitstream),该文件包含了FPGA的配置信息。
生成比特流文件后,可以通过下载到目标FPGA器件上进行验证和调试。
3. Quartus2在实际应用中的常见用途3.1 数字逻辑设计Quartus2广泛应用于数字逻辑设计领域,可用于设计各种逻辑电路,如加法器、多路选择器、寄存器等。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Quartus II 的使用 (1)
1 工程建立 (1)
2 原理图的输入 (4)
3 文本编辑(verilog) (14)
4 波形仿真 (17)
Quartus II 的使用
在这里,首先用最简单的实例向读者展示使用Quartus II软件的全过程。
进入WINDOWS XP后,双击Quartus II图标,屏幕如图1.1所示。
图 1.1 Quartus II 管理器
1.1 工程建立
使用 New Project Wizard,可以为工程指定工作目录、分配工程名称以及指定最高层设计实体的名称。
还可以指定要在工程中使用的设计文件、其它源文件、用户库和 EDA 工具,以及目标器件系列和器件(也可以让Quartus II 软件自动选择器件)。
建立工程的步骤如下:
(1)选择File菜单下New Project Wizard ,如图1.2所示。
图 1.2 建立项目的屏幕
(2)输入工作目录和项目名称,如图1.3所示。
可以直接选择Finish,以下的设置过程可以在设计过程中完成。
图 1.3 项目目录和名称
(3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入,如图1.4所示。
图 1.4 加入设计文件
(4)选择设计器件,如图1.5所示。
图 1.5 选择器件
(5)选择第三方EDA综合、仿真和时序分析工具,如图1.6所示。
图 1.6 选择EDA 工具
(6)建立项目完成,显示项目概要,如图1.7所示。
图 1.7 项目概要
1.2 原理图的输入
原理图输入的操作步骤如下:
(1)选择File 菜单下 New ,新建图表/原理图文件,如图1.8 所示。
图 1.8 新建原理图文件
(2)在图1.9的空白处双击,屏幕如图1.10所示:
(3)在图1.10的Symbol Name 输入编辑框中键入dff后,单击ok按钮。
此时可看到光标上粘着被选的符号,将其移到合
适的位置(参考图 1.11)单击鼠标左键,使其固定;
(4)重复(2)、(3)步骤,给图中放一个input、not、output 符号,如图1.11所示;在图1.11中,将光标移到右侧input
右侧待连线处单击鼠标左键后,再移动到D触发器的左侧
单击鼠标左键,即可看到在input和D触发器之间有一条
线生成;
图1.9 空白的图形编辑器
图1.10 选择元件符号的屏幕
图1.11 放置所有元件符号的屏幕
(5)重复(4)的方法将DFF和output连起来,完成所有的连线电路如图1.12所示;
(6)在图1.12中,双击input_name使其衬低变黑后,再键入clk,及命名该输入信号为clk,用相同的方法将输出信号定义成Q;如图1.13所示。
(7)在图1.13中单击保存按钮,以默认的try1 文件名保存,文件后缀为bdf。
图1.12 完成连线后的屏幕
图1.13 完成全部连接线的屏幕
(8)在图1.8中,单击编译器快捷方式按钮,完成编译后,弹出菜单报告错误和警告数目,并生成编译报告如图1.14所示;
图1.14 完成编译的屏幕
(9)若需指定器件,选择Assignments菜单下Device选项,屏幕如图1.15所示;
图1.15 器件设置
(10)完成如图1.15所示的选择后,单击OK按钮回到工作环境;
(11)根据硬件接口设计,对芯片管脚进行绑定。
选择
Assignments菜单下Pins选项;
(12)双击对应管脚后Location空白框,出现下拉菜单中选择要绑定的管脚,如图1.16所示;
图1.16 管脚指定
(13)在图1.16中完成所有管脚的分配,并把没有用到的引脚设置为As input tri-stated,
Assignments—Device—Device and Pin Options
–Unused Pins,然后重新编译项目;
(14)对目标版适配下载,(此处认为实验板已安装妥当,有关安装方法见实验板详细说明)单击按钮,屏幕显示如
图1.17所示;
图1.18 适配下载界面
(15)选择Hardware Setup ,如图1.19所示;
图1.19 下载硬件设置
(16)在图 1.19中选择添加硬件ByteBlasteMV or ByteBlaster II,如图1.20所示;
图1.20 添加下载硬件
(17)可以根据需要添加多种硬件于硬件列表中,双击可选列表中需要的一种,使其出现在当前选择硬件栏中(本实验板采用ByteBlaster II 下载硬件),如图1.21所示;
图1.21 选择当前下载硬件
(18)选择下载模式,本实验板可采用两种配置方式,AS模式对配置芯片下载,可以掉电保持,而JTGA模式对FPGA下载,掉电后FPGA信息丢失,每次上电都需要重新配置,如图1.22所示;
图1.22 选择下载模式
(19)选择下载文件和器件,JTAG 模式使用后缀为sof 的文件,AS模式使用后缀为pof的文件,选择需要进行的操
作,分别如图1.23,图1.24所示;使用AS模式时,还
要设置Assignments 菜单下Device,如图1.25,选择图1.25中Device & Pin Options,如图1.26,选择使用的配置芯片,编译;
图1.23 JTAG下载模式
图1.24 AS下载模式
图1.25 器件选项
图1.25 配置芯片选择
(20)点击Start按键,开始下载。
1.3 文本编辑(verilog)
这一节中将向读者简单介绍如何使用Quartus II软件进行文本编辑。
文本编辑(verilog)的操作如下:
(1)建立我们的project2项目如下图:
图1.26 建立项目project2
(2)在软件主窗口单击File菜单后,单击New选项,选择Verilog HDL File选项,如图1.27所示:
图1.27 新建Verilog HDL文件
(3)单击OK进入空白的文本编辑区,进行文本编辑,本节列举一个D触发器的例子,其完成后的屏幕如图1.28所示;
图1.28 完成编辑后的屏幕
(4)V文件名必须与模块面相同,将dff1.v文件设置为顶层文件,Project—Set as Top-level Entity
(5)完成编辑后的步骤与完成原理图编辑的步骤相同,请参考
1.1节有关容。
(6)利用v文件生成原理图模块。
在v文件编辑界面中,File—Creat/Update—Creat Symbol Files for Curent File.
1.4 波形仿真
下面以1.2节中project2为例,介绍使用Quartus II 软件自带的仿真器进行波形仿真的步骤。
(1)打开project2 项目,新建波形仿真文件,如图1.29;
图1.29 新建矢量波形文件
(2)在建立的波形文件左侧一栏中,点击鼠标右键,在弹出菜单中选择 Insert Node or Bus,如图1.30所示;
图1.29 矢量波形文件节点加入
(3)在出现的图1.30中,选择Node Finder,将打开Node Finder 对话框,本试验对输入输出的管脚信号进行仿真,所以在
Filter 中选择 Pins:all,点击List 按钮,如图1.31所
示;
图1.30 节点加入工具框
图1.31 Node Finder 对话框
(4)在图 1.31左栏中选择需要进行仿真的端口通过中间的按钮加入到右栏中,点击OK,端口加入到波形文件中,如图
1.32;
图1.32 加入仿真节点后的波形图
(5)在图1.32中,选择一段波形,通过左边的设置工具条,给
出需要的值,设置完成激励波形,保存后如图1.33所示;
图1.33 设置好激励波形的波形文件
(6)设置为功能仿真:Assignment—Timing Analysis Settings--
Simulator Settings—Simulation mode 选择Functional, 生成网络表Processing—Generate Functional
Simulation Netlist;
(7)点击快捷按钮,开始仿真,完成后得到波形如图1.34所示,根据分析,功能符合设计要求。
图1.33 波形仿真结果。