电子科技大学 实验设计方法 实验报告

合集下载

电子科技大学实验报告

电子科技大学实验报告

电子科技大学实验报告电子科技大学实验报告电子科技大学作为中国一流的电子信息科学与技术学府,以其卓越的教育质量和科研实力备受瞩目。

在这所学校里,学生们不仅接受了丰富的理论知识,更重要的是能够通过实验来巩固和应用所学的知识。

实验报告作为实验课程的重要组成部分,对学生的实验能力、分析能力和表达能力都有一定的要求。

一、实验目的与背景每个实验报告都应该明确实验的目的和背景。

在电子科技大学的实验报告中,一般会先介绍该实验所涉及的相关知识和背景,然后明确实验目的。

以“电路实验”为例,可以介绍电路的基本概念和理论知识,然后明确实验目的是通过搭建电路,观察和分析电流、电压、电阻等参数的变化规律。

二、实验装置与步骤实验报告中应该详细描述实验所用的装置和仪器,并给出实验步骤。

例如,在电路实验中,可以列出所用的电源、电阻、电流表、电压表等装置,并详细说明实验的具体步骤,包括搭建电路的过程、调节参数的方法以及观察数据的记录方式。

三、实验结果与数据分析实验结果是实验报告的重要部分,学生需要将实验过程中所得到的数据进行整理和分析。

在电子科技大学的实验报告中,学生可以通过绘制图表、计算数据等方式来展示实验结果。

例如,在电路实验中,可以通过绘制电流与电压的关系曲线图来展示实验结果,并根据曲线的趋势和数据的变化来分析电路的特性和规律。

四、实验讨论与结论实验讨论是实验报告中的重要环节,学生可以在这一部分对实验结果进行讨论和解释。

例如,在电路实验中,学生可以通过比较实验数据和理论计算结果的差异,来分析实验误差的原因,并提出改进的方法。

最后,学生需要总结实验的结论,并对实验的意义和应用进行简要的说明。

五、实验心得与改进意见实验心得是学生对实验过程和结果的个人感悟和体会,可以在实验报告的最后部分进行阐述。

学生可以谈论自己在实验中遇到的困难和解决方法,以及对实验过程和结果的评价。

同时,学生还可以提出对实验的改进意见,以便于今后的实验能够更加顺利和有效地进行。

(推荐)标准试验报告-电子科技大学

(推荐)标准试验报告-电子科技大学

电子科技大学微电子与固体电子学院标准实验报告(实验)课程名称印制电路原理和工艺(实验)电子科技大学教务处制表电子科技大学实验报告学生姓名:学号:指导教师:实验地点:微固楼445 实验时间:一、实验室名称:印制电路工艺实验室二、实验项目名称:挠性PI基材上镂空板用开窗口工艺研究三、实验学时:4学时四、实验原理:在电子设备轻、薄、多功能化发展趋势的促进下,印制电路板正向薄膜化、精细化、高密度互联和元件搭载的方向发展。

挠性印制电路板(Flex Print Circuit Board,FPCB)由于具有可自由弯曲、折叠等特性,被广泛应用于手机、数码相机、摄像机、笔记本电脑、航空电子设备等电子设备中。

而挠性印制电路板的这些特性,来源于其基材—柔性高分子聚合物薄膜,其中聚酰亚胺(polyimide,PI)是挠性印制电路板中使用最多的品种。

PI具有优异耐热温度,可在260℃下长期使用(短时间可以承受550℃)。

同时,PI具有良好的力学性能和优良的耐油性、耐溶剂性、耐辐射性。

FPCB开窗口就是将线路板上设计窗口处的PI基材去除,使Cu导线裸露出来,从而实现增强FPCB功能或性能之目的。

开窗口技术是镂空FPCB制作的基本技术。

由于窗口处没有PI、线路暴露,就可以在单层的基础上实现双面导通的功能,可以与表面贴装技术(Surface Mounted Technology,SMT)结合,可以使印制板在焊接时具有好的耐高温性能,可以使多层FPCB具有更佳的散热性能。

因此,挠性印制电路板开窗口技术在新型电子设备开发中具有重要地位。

目前,FPCB开窗口的方法较多,按照工作原理可以分为机械加工和蚀刻加工两大类。

在机械加工技术中,机械冲切和数控铣应用最广。

机械冲切法使用的工具是冲床,该技术具有生产批量大、先期投入成本低、生产消耗成本低等优点。

但具有产品加工精度受冲模精度限制的缺点,随着窗口尺寸越小,所需模具的成本就越高,生产就越困难。

电子科技大学实习报告

电子科技大学实习报告

电子科技大学通信与信息工程学院生产实习学号:2010013040010姓名:毛嵩指导教师:游长江一、实习目的和任务基于ADS软件的低通滤波器设计二、实习内容和要求实习要求:1、在老师的指导下,安装ADS软件,学习ADS软件的基本应用。

2、掌握ADS软件应用之后,利用ADS软件完成低通滤波器的设计和仿真应用。

设计指标:截止频率:1.2GHz;设计方案:利用椭圆函数滤波器设计并仿真,经过优化后,结果调出来的波形能达到指标,但波形会形成带阻波形,只能实现在一定范围内低通。

所以不选。

利用切比雪夫滤波器设计并仿真,经过优化调试后可用。

利用ADS自带的集总方式得出切比雪夫低通滤波器的阶数如下图可得阶数n=11之后直接利用集总生成切比雪夫滤波器,然后用如下图的功能把切比雪夫滤波器中的电感、电容转换为微带线低通滤波器集总参数模型如图原理图设计并加T型接口如图转换过程中把电介质设为2.2,基板厚度设为0.8mm(这里使用的是已经验证可用)。

把转换完的11阶微带电路复制到另一个新建设计面页,连成如下图所示,并连成如下电路,参数、变量什么的都设完后自动优化加手动都达不到理想波形,通过讨论后加上T型接头才能调出理想波形图形改为如下所示,设计变量参数、微带参数和S参数设置变量参数如图所示设置如下图中的控件MSUB微带线参数设置S参数中扫描的频率范围和步长如图设置完成后即可单击工具栏上的simulate按钮或是点击simulate→simulate,当仿真结束后,系统会自动弹出一个数据显示窗口,在数据显示窗口中插入一个S21参数的矩形图,图形如下显然波形还达不到指标要求,设置如下自动优化参数并自动优化优化后若还不够符合指标,则把优化的数据填入变量中,继续进行优化直到达到指标。

图形如下由上图可知优化完后的波形能达到指标要求电路图仿真成功后进行版图的生成和仿真生产版图如下在图中加输出输入口后把原理图的参数导进并进行仿真。

版图仿真生成波形如下,不符合指标,重新回到原理图窗口进行优化仿真,产生这种情况的原因是微带线的宽度取值不合适,可以改变优化变量的初值,也可根据曲线与指标的差别情况适当调整优化目标的参数,重新进行优化经过多次优化后仿真出如下图波形,还是稍微有点误差,但已基本符合指标要求。

电子科技大学微机实验报告实验5

电子科技大学微机实验报告实验5

电子科技大学微机实验报告实验5第一篇:电子科技大学微机实验报告实验5实验五基于ARM的模块方式驱动程序实验【实验目的】 1.掌握Linux 系统下设备驱动程序的作用与编写技巧 2.掌握Linux 驱动程序模块加载和卸载的方法 3.了解Linux 内核中的makefile和kconfig文件【实验内容】1.基于s3c2440 开发板编写led 驱动程序。

2.将编写好的led驱动加入linux内核中,修改makefile和kconfig文件,配置和编译内核。

3.编写关于led 的测试程序,交叉编译后运行,控制led 灯的亮灭。

【预备知识】1.了解ARM9处理器结构和Linux 系统结构2.熟练掌握C语言。

【实验设备和工具】ν硬件:ARM嵌入式开发平台,PC机Pentium100 以上。

ν软件:PC机Linux操作系统+MINICOM+AMRLINUX 开发环境【实验原理】νlinux设备驱动程序ν驱动的模块式加载和卸载ν编译模块ν装载和卸载模块ν led 驱动的原理在本开发板上有八个led指示灯,从下往上分别为LED0-LED7。

这八个led灯都是接的芯片上的gpio口(通用功能输入输出口)。

在本实验的开发板硬件设计中,当led 灯对应的gpio的电平为低时,led灯被点亮;当led灯对应的gpio的电平为高时,led灯灭。

本驱动的作用就是通过设置对应gpio口的电平来控制led 的亮灭。

因为ARM 芯片内的GPIO口都是复用的,即它可以被配置为多种不同的功能,本实验是使用它的普通的I/O口的输出功能,故需要对每个GPIO口进行配置。

在内核中已经定义了对GPIO口进行配置的函数,我们只需要调用这些函数就可以完成对GPIO口的配置。

【实验步骤】实验程序运行效果:程序会提示:“pleaseenterthe led status”输入与希望显示的led状态对应的ledstatus值(输入十进制值即可),观察led 的显示情况。

电子科技大学电子技术应用实验报告(四人抢答器)

电子科技大学电子技术应用实验报告(四人抢答器)

电子科技大学实验报告一、实验项目名称4人智力竞赛抢答器实验内容:设计并实现一个4人竞赛用抢答器电路具体要求为:1.每个参赛者控制一个按钮,用按动按钮发出抢答信号;2.竞赛主持人另有一个按钮,用于将电路复位;3.抢答器具有锁存功能,即竞赛开始后,先按动按钮者将对应的一个LED灯点亮,此后其他三人再按动按钮对电路不起作用,直到主持人将电路复位为止。

4.用LED数码管显示抢答成功选手的编号。

5.加入倒计时功能。

当主持人复位电路开始抢答时,自动启动60秒计时,时间到后计时数码管显示“00”并持续报警,直至主持人将电路复位为止。

实验要求:设计满足要求的电路,并在Multisim中进行连接、仿真和调试。

在实验报告中简要地说明实验原理,画出实验电路图,在相应的位置附上实验中的仿真结果和波形。

二、试验时间计划表1.主要任务:确定模块分工,画出模块连接示意框图;计划学时数:4;实际学时数:1;完成情况:确定模块分工和具体功能,初步确认主器件和基本实现方式,不需要块图2.主要任务:对每个模块进行实现并基本调试成功;计划学时数:6;实际学时数:8;完成情况:通过建立电路中遇到的问题不断修改优化初步功能,并在建立其他模块的时候利用已有功能帮助简化电路的建立。

3.主要任务:对已经建立的模块进行耦合调试和修改;计划学时数:4;实际学时数:2;完成情况:成功对各模块进行耦合,并对布线进行一定的优化。

三、方案论证此数字式抢答器主要需要实现锁存功能、复位功能、计时功能、显示功能和警告功能。

其中锁存、复位、计时功能是这个电路的核心:锁存功能需要锁存抢答信息,当一个选手进行抢答以后必须阻断别的选手的抢答。

锁存计时信号,当一个选手抢答以后倒计时停止,阻断时钟信号。

复位锁存,当处于复位状态的时候其他选手不能抢答;复位功能需要复位抢答,消除所有选手的抢答状态;复位计时,恢复倒计时数字,并停止计时;计时功能需要建立起振器,形成1Hz脉冲;需要建立60位倒计时器。

电子科技大学实验报告

电子科技大学实验报告
五、实验内容:
(一)用WinDLX模拟器执行求阶乘程序fact.s。
这个程序说明浮点指令的使用。该程序从标准输入读入一个整数,求其阶乘,然后将结果输出。
该程序中调用了input.s中的输入子程序,这个子程序用于读入正整数。
(二)用WinDLX模拟器执行程序gcm.s。
该程序从标准输入读入两个整数,求他们的greatest common measure,然后将结果写到标准输出。
该程序中调用了input.s中的输入子程序。
(三)用WinDLX模拟器执行求素数程序prim.s。
这个程序计算若干个整数的素数。
六、实验器材(设备、元器件):
PC微机一台
七、实验步骤及操作:
1.双击WinDLX图标运行WinDLX。装入测试程序之前,先初始化WinDLX模拟器:点击File菜单中的Reset all菜单项,弹出一个“Reset DLX”对话框。然后点击窗口中的“确认”按钮即可。
1.2.选择File/Load Code or Data,按如下步骤操作,可将fact.s和input.s这两个程序装入主存:
◆点击fact.s
◆点击select按钮
◆点击input.s
◆点击select按钮
◆点击load按钮
3.点击主窗口中的Execution开始运行。
4.程序gcm.s和prim.s的运行过程同上。
八、实验数据及结果分析:
九、实验结论:
十、总结及心得体会:
十一、对本实验过程及方法、手段的改进建议:
报告评分:
指导教师签字:
电子科技大学
实验报告
学生姓名:
学号:
一、实验室名称:计算机学院计算心
二、实验项目名称:熟悉WinDLX的使用

电子科技大学_实验设计方法_实验报告

电子科技大学_实验设计方法_实验报告

电子科技大学实验报告学生:黎超群学号: 16指导教师:王守绪、何为日期: 2014年5月13日一、实验室名称:211大楼二、实验项目名称:统计分析应用软件在优化试验设计中的应用三、实验原理:统计分析应用软件可以应用在优化试验设计中以简化运算,提高工作效率四、实验目的:1. 掌握“正交助手”应用软件在正交试验统计分析法中的应用2. 熟悉Minitab、DPS统计分析应用软件在多元回归分析中的应用3. 熟悉“均匀设计”应用软件在均匀试验设计以及分析方法中的应用4. 加深对理论教学知识的理解5. 更深刻理解试验设计方法在实际工作中的应用五、实验容:1、用“正交设计助手”进行正交实验的极差分析和方差分析2、用“正交设计助手”处理带交互作用的正交试验问题3、minitab进行正交实验的方差分析4、minitab处理多元回归分析问题5、“均匀设计”软件解决均匀设计问题的一般流程6、用DPS数据处理系统处理正交实验及回归分析六、实验器材(设备、元器件):计算机、正交设计助手软件、Minitab软件、均匀设计软件、DPS数据处理系统七、实验步骤:Ⅰ. 用“正交设计助手”进行正交实验的极差分析和方差分析1.点击文件→新建工程→右击未命名工程→修改工程→键入用户名→点击实验→新建实验→填写实验名称和描述→点击旁边选项卡选择正交表(L34)→再点9击“因素与水平”选项卡填写实验因素和水平(图1)→软件自动完成实验安排(图2)→填写实验结果(图3)→点击分析→“直观分析”得到极差分析结果(图4)→点击“因素指标”得到各因素二元图(图5)→点击“方差分析”→选择误差列为空白列得到方差分析结果(图6)→实验Ⅰ结束图1 图2图3 图4图5 图6 Ⅱ. 用“正交设计助手”处理带交互作用的正交试验问题点击新建实验→填写实验名称和描述→选择正交表(L27)→填写因素、交互作8用和水平(图1)→软件自动安排实验(图2)→输入实验结果(图3)→点击“直观分析”得到极差分析结果(图4)→点击“交互作用”→选择发生交互作用的A、B得到交互作用表(图5)→点击“方差分析”得到方差分析结果(图6)→实验Ⅱ结束图1 图2图3 图4图5 图6Ⅲ. minitab进行正交实验的方差分析说明:因为输入代码软件无反应所以直接用菜单栏中的命令来实现本实验输入四列数据(图1)→点击“统计”→“方差分析”→“一般线性模型”→“响应“项选D“模型”项依次选择A B C点击“确定”(图2)→得到方差分析结果(图3)→点击“方差分析”→“单因子”→响应项:D,因子:A 得到A的各水平平均值和极差及各水平好坏对比(图4)→B、C因素依次操作(结果未列出)→有交互作用正交实验操作同上故未详细说明→实验Ⅲ结束图1 图2图3 图4Ⅳ. minitab处理多元回归分析问题1.输入相应数据(图1)→点击“统计”→“回归”→“回归”响应项为Y预期变量为A B C D→得到回归分析结果(图2)→由于D显著性最弱所以剔除D重复上述操作得到结果(图3)→C显著性也较弱剔除C重复上述操作得到结果(图4)→结论:未剔除变量Y = 62.4 + 1.55 A + 0.510 B + 0.102 C - 0.144 D均方差= 2.44601 回归系数平方和= 98.2%剔除变量C Y = 48.2 + 1.70 A + 0.657 B + 0.250 C均方差= 2.31206 回归系数平方和= 98.2%剔除变量C D Y = 52.6 + 1.47 A + 0.662 B均方差= 2.40634 回归系数平方和= 97.9%由于第三个模型系数少所以即使其回归系数平方和与均方差都不是最好水平(但都不低)仍然认为第三个模型最好2.对于多元非线性回归的分析基本同上,区别是多了变量的高次幂作为新变量,分析时只需将这些高次幂看作变量进行分析即可,方法同上故不赘述图1 图2图3 图4Ⅴ. “均匀设计”软件解决均匀设计问题的一般流程说明:由于安装软件后闪退,试过在WinXP系统与win7系统中安装2.10与3.0版都无法解决,所以无法实现操作,只能根据实验指导书与实验课操作经验总结如下点击“试验设计”栏目→考察指标数为1,试验因素数为4,运行的次数为12→选择水平组合为12*6*6*6→点击“指标因素信息”按钮→输入指标与因素的名称和单位→手动输入各个指标因素的数据→点击“多元回归分析”按钮→分别选中1*1、2*2、3*3、4*4的交互项→点击“多元回归分析”按钮→查看运行结果→点击“试验优化”→选择“计算方法”为“单纯形法”,“优化方向”为“寻最大值”,“单纯形初始点”为“最好点”,设定上限设定值和下限设定值指标方向寻最大值时输入1,寻最小值时输入-1→点击“自动优化实验”按钮→得到优化的试验方案Ⅵ. 用DPS数据处理系统处理正交实验及回归分析说明:学校上下载的软件可运行,但注册机不能运行,无法破解,因此无常运行(使用时软件自动加入一些无关参数),因此本报告所用为互联网下载的DPS 7.05版软件并用相应注册机破解,由于版本不同,所以操作上可能与实验指导书上的有所出入,特此说明。

电子科技大学数字式秒表设计与实现 实验报告

电子科技大学数字式秒表设计与实现 实验报告

数字式秒表设计与实现指导老师:姓名:学号:摘要本文主要介绍了基于FPGA使用VHDL语言的数字式秒表的设计开发流程。

该设计以VHDL作为硬件开发语言,以ISE作为软件开发平台,成功的实现了数字式秒表的计数、清零、暂停等功能。

并使用了ModelSim仿真软件对各个单元电路模块进行了仿真,且完成了综合布局布线,最终下载到电路板上,实际测试结果良好。

关键字:FPGA,VHDL,数字目录数字式秒表设计与实现 (1)第一章引言 (4)1.1 选题背景 (4)1.2 实验方式 (4)1.3 技能培养 (4)第二章基于FPGA的VHDL设计流程 (5)2.1 概述 (5)2.2 VHDL语言介绍 (5)2.2.1 VHDL的特点 (5)2.2.2 VHDL开发流程 (6)2.3 FPGA开发介绍 (8)2.3.1 FPGA简介 (8)2.3.2 FPGA开发流程 (8)第三章数字式秒表的软件开发环境 (10)3.1开发环境 (10)3.2ModelSim介绍 (10)3.3 ISE介绍 (11)第四章数字式秒表的设计与实现 (12)4.1 任务要求 (12)4.2 实验条件 (12)4.3 原理框图 (13)4.4 各模块的实现 (13)4.4.1 分频器 (13)4.4.2 输入控制电路 (14)4.4.3 计时模块 (16)4.4.4 显示模块 (18)4.5 分配引脚和下载实现 (19)4.6 测试结果 (20)第五章结论 (21)参考文献 (22)致谢 (23)附录 (24)附录1.电子秒表的顶文件 (24)附录2分频器 (28)附录3消抖电路 (28)附录4 控制电路 (29)附录5 十进制计数器 (30)附录9 锁存器 (30)附录10 显示电路 (31)第一章引言第一章引言1.1选题背景《电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。

电子科大 实验报告

电子科大 实验报告

电子科大实验报告电子科技大学实验报告引言:电子科技大学作为中国著名的高等学府,以其卓越的教学质量和科研实力享誉全国。

在这里,学生们接受着严格的实验训练,以提升他们的科学研究能力和实践技巧。

本文将对电子科技大学的实验教学进行探讨,以及对我个人在实验中的体验和收获进行分享。

实验教学的重要性:实验教学在高等教育中具有重要的地位。

通过实验,学生们能够亲自动手操作,观察现象,进行数据采集和分析,从而深入理解课堂上学到的理论知识。

实验教学不仅培养了学生的动手能力和实践能力,还锻炼了他们的团队合作和解决问题的能力。

因此,电子科技大学高度重视实验教学,为学生提供了丰富多样的实验项目和设备。

实验室设备的先进性:电子科技大学实验室设备的先进性也是其实验教学的一大特点。

学校投入大量资金购置了各种先进的实验仪器和设备,以满足学生的学习需求。

例如,在电子信息工程专业的实验室中,学生们可以使用高性能的示波器、信号发生器和频谱分析仪等设备进行电路实验和信号处理实验。

这些设备不仅提供了实验所需的基本功能,还具备了一些高级功能,使得学生们能够更好地进行实验研究。

实验项目的多样性:电子科技大学的实验项目种类繁多,涵盖了各个专业领域。

学生们可以根据自己的兴趣和专业方向选择适合自己的实验项目。

例如,在通信工程专业的实验室中,学生们可以进行无线通信实验、光纤通信实验等;在计算机科学与技术专业的实验室中,学生们可以进行网络安全实验、人工智能实验等。

这些实验项目既能够帮助学生巩固课堂上学到的知识,又能够拓宽他们的实践经验。

个人实验体验与收获:我作为一名电子信息工程专业的学生,在电子科技大学的实验教学中获得了很多宝贵的经验和收获。

首先,通过亲自动手操作实验仪器,我深入了解了电路的工作原理和信号的处理方法。

其次,实验教学锻炼了我的团队合作和沟通能力,因为在实验中,我们需要与同组的同学密切合作,共同解决实验中遇到的问题。

最后,实验教学培养了我解决问题的能力。

电子科技大学电子设计自动化EDA实验报告

电子科技大学电子设计自动化EDA实验报告

EDA实验报告一、设计思路这个实验的最终成品是利用无缘蜂鸣器发出频率不断变小的声音,表现为音调不断下降,就像是飞机被击落的音调。

设计初期是想令发声器发出不同的音调,但是如果要编程歌曲比较困难。

于是考虑通过循环改变分频系数来进行变频,首先对时钟进行第一次分频,设置成周期为1ms的分频;然后设置分频循环为16到256,每1ms改变一次分频数;另开一个分频按16到256进行分频了,第三分频的最高位进行输出。

仿真过程没有问题,下载时发声不能,复位指示灯显示正常,跳频指示灯不闪烁。

上网查无源发生器的发生频率在1k到4k之间,而16到256分频是132k到2.11M,频率过大无法发声。

因此改为从8192分频到32768分频,为了编程方便在第二分频加八位,第一分频减八位,因此总的发声循环不发生改变,同时降低输出频率。

最终结果成功!二、编程源码library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity sound isport (clk,clr:in std_logic;output,light1,run:out std_logic);end sound;architecture rtl_sound of sound issignal cnt:std_logic_vector(12 downto 0);signal spt:std_logic_vector(13 downto 0);signal snd:std_logic_vector(12 downto 0);signal ver:std_logic;beginprocess(clk,clr)beginif(clr='0')thenspt<="10011101111001";elsif(rising_edge(clk))thenif(spt="00000000000000")thenspt<="10011101111001";elsespt<=spt+"11111111111111";end if;end if;end process;process(spt(13),clk,clr)beginif(clr='0')thencnt<="0000111111111";elsif(rising_edge(spt(13)))thenif(cnt="1111111111111")thencnt<="0000111111111";elsecnt<=cnt+"0000000000001";end if;end if;end process;process(clk,clr)beginif(clr='0')thensnd<=cnt;ver<='1';elsif(rising_edge(clk))thenif(snd="0000000000000")thensnd<=cnt;ver<=not ver;elsesnd<=snd+"1111111111111";end if;end if;end process;output<=ver;run<=clr;light1<=cnt(12);end rtl_sound;三、仿真结果可以看到输出的频率越来越小,对应发生音调越来越低图示cnt为此状态应分频数(count),spt为第一分频(seperate),snd为按照cnt的数目进行分频,ver取snd的最高位。

电子科技大学现代电子综合实验频率计实验报告

电子科技大学现代电子综合实验频率计实验报告

实验报告学生:学院:专业:学号:指导老师:目录一、实验名称: (3)二、实验目的: (3)三、实验任务: (3)四、实验原理: (3)1.分频器: (4)①功能 (4)②实现: (4)2.闸门选择 (5)①功能 (5)②实现 (5)3.门控电路: (6)①功能: (6)②实现 (7)4.计数器: (8)①功能 (8)②实现 (8)5.锁存器: (9)①功能 (9)②实现 (9)6.扫面显示 (9)①功能 (9)②实现 (9)7.top顶层文件 (10)①功能: (10)②实现: (10)8.管脚的配置: (11)六、误差分析: (13)1. 原因 (13)2.减小误差 (13)七、实验结论: (14)八、程序附录: (14)1.分频器: (14)2.闸门选择: (15)3.门控电路: (16)4.计数器: (17)5.锁存器: (19)6.扫面显示: (20)7.top程序: (21)一、实验名称:基于FPGA的数字频率计的设计二、实验目的:学习VHDL语言并使用它完成频率计的设计,使学生不断的加深对VHDL描述语言的掌握,以及不断总结由软件来实现硬件的特点,学会程序与芯片的对接,为以后的工作和更进一步的学习学习打好基础。

三、实验任务:基于FPGA采用硬件描述语言VHDL,在软件开发平台ISE上设计出一个数字频率计,使用ModelSim仿真软件对VHDL程序做仿真并下载到芯片完成实际测量。

要求:其频率测量范围为10Hz~10MHz,测量结果用6只数码管显示。

有三个带锁按键开关(任何时候都只能有一个被按下)被用来选择1S、0.1S和0.01S三个闸门时间中的一个。

有两只LED,一只用来显示闸门的开与闭,另一只当计数器溢出时做溢出指示。

四、实验原理:频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟(本实验采用50MHz的石英振荡器作为基准时钟),对比测量其他信号的频率。

通常情况下计数每秒内待测信号的脉冲个数,此时我们称闸门时间为1S,闸门时间也可以大于或小于1S。

电子科技大学实验报告

电子科技大学实验报告
六、实验内容:
1.安装使用工具Cain。
2.绑定网卡。
3.确定嗅探区域。
4.ARP欺骗。
5.查看结果。
七、实验器材(设备、元器件):
PC微机一台、SimpleNAD网络实验教学系统
八、实验步骤:
Ping命令是一种TCP/IP实用工具,在DOS和UNIX系统下都有此命令。它将您的计算机与目标服务器间传输一个数据包,再要求对方返回一个同样大小的数据包来确定两台网络机器是否连接相通。
图4-32
图4-33
说明:图4-33右边列表中包括了所捕获的用户名密码按不同协议的分类情况,可以很清楚地看到IP为13的机器访问的某网络的用户名和密码(HTTP协议下)。
若是要嗅探在局域网内的某个web服务器的用户名密码,将ARP欺骗列表进行下修改。如、
图4-34
图4-35
说明:可以看到数据包在增加。而下端路由处没有显示(因为没有通过路由连出外网)
ARP欺骗存在两种情况:一种是欺骗主机作为“中间人”,被欺骗主机的数据都经过它中转一次,这样欺骗主机可以窃取到被它欺骗的主机之间的通讯数据;另一种让被欺骗主机直接断网。
五、实验目的:
1.通过ARP欺骗技术获取网站用户名、密码等信息。
2.了解ARP欺骗的基本原理。
3.熟悉ARP欺骗的工具使用,以及实验完成过程。
1.安装使用工具Cain
首先在局域网内某台机器上安装Cain(IP地址为)。Cain是一个功能强大的软件,可以实现网络嗅探、网络欺骗、破解加密口令、分析路由协议等功能。使用它之前必须进行安装,安装过程只需要按照默认情况安装即可。双击“Cain”,运行Cain的操作界面如:
图4-19
说明:由于cain功能很多,此处仅对本实验中所用到的功能进行讲解,其余功能读者可以自己去了解、尝试。

电子科技大学实验报告

电子科技大学实验报告
说明:由于cain功能很多,此处仅对本实验中所用到的功能进行讲解,其余功能读者可以自己去了解、尝试。
2.绑定网卡
在IP地址为的机器上运行cain,在cain运行界面上,按下“sniffer”图标,并点击“configuration菜单”,在“sniffer”选项卡下,选择恰当的网卡进行绑定,点击确定。如图4Biblioteka 20、图4-21图4-24
图4-25
说明:若没有选择 图标,则当点击 时,会提示“sniffer not be actived”,此时点击 ,开始嗅探,实验仍可继续进行。从cain主界面中可以看到,已探测出在该区域段的机器(10.11为主机,13为虚拟主机,1为网关)。如图4-26
图4-26
4.ARP欺骗
选择cain主界面下端的APR标签 ,点击“ ”号,在选项框中选择进行ARP欺骗的地址。左边选择被欺骗的主机,再在右边选择合适的主机(或网关),ARP能够在左边列表中被选的主机和所有在右边选中的主机之间双向劫持IP包。在该实验中首先在左侧列表中选择的地址,然后右侧列表即会出现其他IP地址,若在右侧选择网关,这样就可以截获所有从13发出到广域网的数据包信息。点击“OK”,在cain界面上可以看到形成的欺骗列表,此时在状态一栏中显示“idle”,开始欺骗点击工具栏上的“ ”状态变为“poisoning”,开始捕获。此时,在机器上进行网络操作,在12机器上会看到cain界面上显示捕获数据包的增加。如图4-27、图4-28、图4-29、图4-30
1.安装使用工具Cain
首先在局域网内某台机器上安装Cain(IP地址为)。Cain是一个功能强大的软件,可以实现网络嗅探、网络欺骗、破解加密口令、分析路由协议等功能。使用它之前必须进行安装,安装过程只需要按照默认情况安装即可。双击“Cain v2.5”,运行Cain的操作界面如图4-19:

电子科技大学数电实验报告

电子科技大学数电实验报告

assign disp_data_right2=Q_2;
assign disp_data_right3=Q_3;
assign disp_data_right4=Q_4;
assign disp_data_right5=Q_5;
dynamic_led6 u6 (
.disp_data_right0(disp_data_right0),
.disp_data_right1(disp_data_right1),
.
disp_data_right2(disp_data_right2),
.
disp_data_right3(disp_data_right3),
.disp_data_right4(right5(disp_data_right5),
);
reg[24:0] clk_div_cnt=0; reg clk_div=0; always @ (posedge clk) begin
if (clk_div_cnt==25000) begin
clk_div=~clk_div; clk_div_cnt=0; end else
clk_div_cnt=clk_div_cnt+1; end
4'h6: seg=8'h7d; 4'h7: seg=8'h07; 4'h8: seg=8'h7f; 4'h9: seg=8'h6f; 4'ha: seg=8'h77; 4'hb: seg=8'h7c; 4'hc: seg=8'h39; 4'hd: seg=8'h5e; 4'he: seg=8'h79; 4'hf: seg=8'h71; default: seg=0; endcase end

电子科技大学数学实验实验报告(含详细程序和实验数据)-Koch分形雪花,计算瑞典国土,计算我国海岸线长度

电子科技大学数学实验实验报告(含详细程序和实验数据)-Koch分形雪花,计算瑞典国土,计算我国海岸线长度

Koch 分形雪花面积计算的数学实验报告2012年4月6日绘制Koch 分形雪花,分析其边数及面积规律实验内容取周长为10的正三角形为初始元。

第一步(N=1):将边长三等分,并以中间的一份为底边构造正三角形,去掉该三角形的底边,将两腰与剩下的两份相连,得到生成元。

原三角形每条边都用生成元替换,得到具有6个凸顶点的12边形。

第二步(N=2):对第1步得到的图形,同样将其边长三等分,并以中间的一份构造正三角形,去掉该三角形的底边,将两腰与两边的两份相连,得到生成元。

原12边形的每条边都用生成元替换,得到24个凸顶点的48边形。

如此方法,一直做下去,当∞→N 时便得到了Koch 分形雪花。

实验目的1.算法描述Koch 分形雪花2.证明Koch 分形雪花图Kn 的边数为143-⨯=n n L3.求Koch 分形雪花图Kn 的面积)(lim n N K area ∞→实验原理1. Koch 分形雪花的绘制过程与Koch 曲线的构造过程类似。

事实上,Koch 分形雪花是由三条三次Koch 曲线组成的。

Koch 曲线的构造:由一条线段产生四条线段,由n 条线段迭代一次后将产生4n 条线段,算法针对每一条线段逐步进行,将计算新的三个点。

第一个点位于线段的三分之一处,第三个点位于线段的三分之二处,第二个点以第一个点为轴心,将第一和第三个点形成的向量正向旋转ο60而得,正向旋转由正交矩阵⎪⎭⎪⎬⎫⎪⎩⎪⎨⎧-3cos 3sin 3sin3cos ππππ完成。

三条三条三次Koch 曲线由初始向量P 构造。

流程图如下:⑴)/3P -2(P + P ←Q )/3;P -(P + P ← Q 121 31211 ⑵;A ×)Q -(Q + Q ← Q T1312 ⑶.Q ← P ;Q ← P ;Q ← P ;P ← P 342312252.由于Koch分形雪花是封闭的凸多边形,所以边数=顶点数=P矩阵的行数-1。

现代电子实验报告电子科技大学

现代电子实验报告电子科技大学

基于FPGA的现代电子实验设计报告——数字式秒表设计(VHDL)学院:物理电子学院专业:学号:学生姓名:指导教师:刘曦实验地点:科研楼303实验时间:摘要:通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。

该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。

该设计的秒表能准确地完成启动,停止,分段,复位功能。

使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。

关键词:FPGA,VHDL,ISE,ModelSim目录绪论 (4)第一章实验任务 (5)第二章系统需求和解决方案计划 (5)第三章设计思路 (6)第四章系统组成和解决方案 (6)第五章各分模块原理 (8)第六章仿真结果与分析 (11)第七章分配引脚和下载实现 (13)第八章实验结论 (14)绪论:1.1课程介绍:《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。

通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。

本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。

该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。

使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。

电子科技大学电子实验报告交通灯

电子科技大学电子实验报告交通灯

电子科技大学电子实验报告交通灯————————————————————————————————作者:————————————————————————————————日期:《电子技术》仿真设计报告题目:交通控制灯学院:xxxxxxxxxxxx学号:xxxxxxxxxxxxx学生姓名:xxxx指导老师:xxxx一.实验内容:设计并实现一个十字路口的交通控制灯电路。

二.实验要求:以4个红色指示灯、4个绿色指示灯和4个黄色指示灯模拟路口的东南西北4个方向的红、绿、黄交通灯。

控制这些指示灯,使他们按下列规律亮和灭:1.东西方向绿灯亮,南北方向红灯亮。

东西方向通车,时间30秒;2.东西方向黄灯闪烁,南北方向红红灯亮,时间2秒;3.东西方向红灯亮,南北方向绿灯亮,南北方向通车,时间30秒;4.东西方向红灯亮,南北方向黄灯闪烁,时间2秒;5.返回1,继续运行。

三.电路原理简介由555多谐振荡器和分频电路产生时钟信号,通过两片LS90芯片产生64进制计数信号,然后分别在0秒,30秒,32秒,62秒四个节点产生控制脉冲信号,控制交通灯变化。

四.单元电路设计4.1 时钟信号产生部分产生周期为1ms的方波(实验中将1ms代替1s)4.2 64进制计数器部分两个74LS90构成的十进制计数器级联,当计数之64,也就是0110 0100时,两片芯片同时清零,从0 开始重新计数,即构成64进制计数器。

分别在计数器计数至0,30,32,62时,由与门电路产生一个持续一个周期的脉冲信号送入D锁存器中。

一个完整的64ms的周期前30ms中间2ms 后30ms最后2ms4.3 黄灯控制原理B信号与C信号取亦或得到一个2ms的低电平,再与A信号取或,就得到了在2ms内闪烁2次的效果。

4.4 LED部分电路五.总电路图六.实验结果分析信号灯工作正常,按照要求闪烁。

各信号输出正常,周期符合题目要求。

七.试验中遇到的问题及解决办法第一次搭建好电路后发现灯泡闪烁不正常,控制信号混论,后来将理想的与门更换为实际芯片74LS08D,问题解决。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子科技大学




学生姓名:黎超群
学号: 20
指导教师:王守绪、何为
日期: 2014年5月13日
一、实验室名称:
211大楼
二、实验项目名称:
统计分析应用软件在优化试验设计中的应用
三、实验原理:
统计分析应用软件可以应用在优化试验设计中以简化运算,提高工作效率
四、实验目的:
1. 掌握“正交助手”应用软件在正交试验统计分析法中的应用
2. 熟悉Minitab、DPS统计分析应用软件在多元回归分析中的应用
3. 熟悉“均匀设计”应用软件在均匀试验设计以及分析方法中的应用
4. 加深对理论教学知识的理解
5. 更深刻理解试验设计方法在实际工作中的应用
五、实验内容:
1、用“正交设计助手”进行正交实验的极差分析和方差分析
2、用“正交设计助手”处理带交互作用的正交试验问题
3、minitab进行正交实验的方差分析
4、minitab处理多元回归分析问题
5、“均匀设计”软件解决均匀设计问题的一般流程
6、用DPS数据处理系统处理正交实验及回归分析
六、实验器材(设备、元器件):
计算机、正交设计助手软件、Minitab软件、均匀设计软件、DPS数据处理系统
七、实验步骤:
Ⅰ. 用“正交设计助手”进行正交实验的极差分析和方差分析
1.点击文件→新建工程→右击未命名工程→修改工程→键入用户名→点击实验
34)→再点→新建实验→填写实验名称和描述→点击旁边选项卡选择正交表(L
9
击“因素与水平”选项卡填写实验因素和水平(图1)→软件自动完成实验安排(图2)→填写实验结果(图3)→点击分析→“直观分析”得到极差分析结果(图4)→点击“因素指标”得到各因素二元图(图5)→点击“方差分析”→选择误差列为空白列得到方差分析结果(图6)→实验Ⅰ结束
图1 图2
图3 图4
图5 图6Ⅱ. 用“正交设计助手”处理带交互作用的正交试验问题
27)→填写因素、交互作点击新建实验→填写实验名称和描述→选择正交表(L
8
用和水平(图1)→软件自动安排实验(图2)→输入实验结果(图3)→点击“直观分析”得到极差分析结果(图4)→点击“交互作用”→选择发生交互作用的A、B得到交互作用表(图5)→点击“方差分析”得到方差分析结果(图6)
→实验Ⅱ结束
图1 图2
图3 图4
图5 图6
Ⅲ. minitab进行正交实验的方差分析
说明:因为输入代码软件无反应所以直接用菜单栏中的命令来实现本实验
输入四列数据(图1)→点击“统计”→“方差分析”→“一般线性模
型”→“响应“项选D“模型”项依次选择A B C点击“确定”(图2)→得到
方差分析结果(图3)→点击“方差分析”→“单因子”→响应项:D,因子:A
得到A的各水平平均值和极差及各水平好坏对比(图4)→B、C因素依次操作(结
果未列出)→有交互作用正交实验操作同上故未详细说明→实验Ⅲ结束
图 1 图2
图3 图4
Ⅳ. minitab处理多元回归分析问题
1.输入相应数据(图1)→点击“统计”→“回归”→“回归”响应项为Y预期变量为A B C D→得到回归分析结果(图2)→由于D显著性最弱所以剔除D重复上述操作得到结果(图3)→C显著性也较弱剔除C重复上述操作得到结果(图4)→结论:
未剔除变量Y = + A + B + C - D
均方差= 回归系数平方和= %
剔除变量C Y = + A + B + C
均方差= 回归系数平方和= %
剔除变量C D Y = + A + B
均方差= 回归系数平方和= %
由于第三个模型系数少所以即使其回归系数平方和与均方差都不是最好水平(但都不低)仍然认为第三个模型最好
2.对于多元非线性回归的分析基本同上,区别是多了变量的高次幂作为新变量,分析时只需将这些高次幂看作变量进行分析即可,方法同上故不赘述
图1 图2
图3 图4
Ⅴ. “均匀设计”软件解决均匀设计问题的一般流程
说明:由于安装软件后闪退,试过在WinXP系统与win7系统中安装与版都无法解决,所以无法实现操作,只能根据实验指导书与实验课操作经验总结如下
点击“试验设计”栏目→考察指标数为1,试验因素数为4,运行的次数为12→选择水平组合为12*6*6*6→点击“指标因素信息”按钮→输入指标与因素的名称和单位→手动输入各个指标因素的数据→点击“多元回归分析”按钮→分别选中1*1、2*2、3*3、4*4的交互项→点击“多元回归分析”按钮→查看运行结果→点击“试验优化”→选择“计算方法”为“单纯形法”,“优化方向”为
“寻最大值”,“单纯形初始点”为“最好点”,设定上限设定值和下限设定值指标方向寻最大值时输入1,寻最小值时输入-1→点击“自动优化实验”按钮→得到优化的试验方案
Ⅵ. 用DPS数据处理系统处理正交实验及回归分析
说明:学校网站上下载的软件可运行,但注册机不能运行,无法破解,因此无法正常运行(使用时软件自动加入一些无关参数),因此本报告所用为互联网下载的DPS 版软件并用相应注册机破解,由于版本不同,所以操作上可能与实验指导书上的有所出入,特此说明。

点击“实验设计”→“正交设计表”→选择“9处理3水平4因素”确定→得到相应正交表→输入实验结果数据(图1,此时特别注意切换输入法为系统初始输入法,既非用户自己安装的输入法,否则软件会卡死,正确输入的数字应为蓝色图中所示为错误输入,为黑色)→选中要分析的数据(B4:F12)→点击“试验统计”→“正交试验方差分析”→之后,处理和空闲因子数为4,空闲因子所在列为E,多重比较方法选择turkey法→点击确定,得到结果(图2)→进行有交互作用的正交试验的极差及方差分析时方法同上,只需把交互作用看作一个额外的因素即可,此不赘述→用dps数据处理系统进行回归分析时,先输入数据(图3)→选中数据→点击“多元分析”→“线性分析”→“线性回归”→“返回编辑”→得到结果(图4)
图1 图2
图3图4
八、实验数据及结果分析:
数据及结果分析如上图所示
九、实验结论:
通过软件可以轻松实现实验安排与结果分析,大大提高工作效率
十、总结及心得体会:
输入数据时要仔细,因为一般数据偏差不大软件是不会报错的这样如果最终发现有问题,要找到问题所在是很困难的
十一、对本实验过程及方法、手段的改进建议:
更新软件版本,希望能提供正版软件,或者已破解且可用的软件,尽量支持高版本windows
报告评分:
指导教师签字:。

相关文档
最新文档