多位LED-显示器动态扫描驱动电路设计完整版
模电课程设计多位LED扫描译码驱动电路实验报告
多位LED扫描译码驱动电路实验报告目录第一章绪论第二章LED动态动态扫描扫描原理1.目标与电路总体功能概述2.电路结构框图3.设计迟滞比较器方波产生电路4.设计信号调理和整形电路5. LED动态扫描和译码驱动电路第三章系统硬件电路设计1.方波产生电路2.完整实验电路图3.扩展部分第四章仿真与调试1.思考题第五章总结第六章心得体会参考文献第一章绪论LED显示屏是80 年代后期在全球迅速发展起来的新型信息显示媒体, 它利用发光二极管构成的点阵模块或像素单元, 组成大面积显示屏幕, 以其可靠性高、使用寿命、环境适应能力强、性能价格比高、使用成本低等特点, 在信息显示领域已经得到了非常广泛的应用。
LED及发光二极管显示电路较为简单,成本也较低,在功能单一的仪器仪表与机电设备中应用较广。
LED 显示屏主要包括发光二极管构成的阵列、驱动电路、控制系统及传输接口和相应的应用软件等, 其中驱动电路设计的好坏, 对LED显示屏的显示效果、制作成本及系统的运行性能起着很重要的作用。
大多数显示驱动器都没有严格的总线时序,在强干扰环境下容易造成时序混乱,使显示不正常。
所以, 设计一种既能满足控制驱动的要求, 同时使用器件少、成本低的控制驱动电路是很有必要的。
第二章LED动态动态扫描扫描原理1.目标与电路总体功能概述多位LED扫描译码驱动电路就是一个动态数字扫描显示功能的一个电子产品,它讲述了数模电驱动数码管的显示和实现扫描显示等电路各部分的设计原理及所能实现的功能,它要求要对各种编码器、译码器、数据选择器以及振荡器等多种数字电子芯片熟悉,并能加以运用。
该电路的功能是通过控制数据选择器输入端的高低电平来使四个LED显示器可以显示0-9任意一个数字,实现动态扫描功能。
由振荡电路,控制电路,四位四选一数据选择器,一位LED译码驱动电路和四位LED显示电路组成。
电路结构框图3.1设计迟滞比较器方波产生电路,频率为1Hz-2KHz可调R1 5.1kΩR210kΩRP1100kΩKey=A0 %C1 330nFU1ATL082CD32481D11N4731AD21N4731AXSC1A BExt Trig++__+_XFC1123VDD12VVEE-12VR31kΩ44图3—1—1该部分使用TL082集成双运放:tl082是一通用的J-FET双运用算放大器,其特点有:较低输入偏置电压和偏移电流:;输出没有短路保护,输入级具有较高的输入阻抗,内建频率被子偿电路,较高的压摆率。
动态扫描数码显示电路
1.课程设计目的1.1 巩固所学理论,提高动手能力、创新能力和综合设计能力。
1.2 熟悉常用芯片的引脚功能。
1.3 了解动态扫描数码显示电路的组成及工作原理。
2.课程设计要求2.1 任务要求:动态扫描数码现实电路设计,通过单路显示译码器驱动多路显示输出,同时动态扫描现实时达到无闪烁效果。
2.2 性能指标要求:(1)设计制作一个进行四位十进制数码显示电路。
(2)分时显示各位十进制数码。
(3)设计用于动态显示控制的脉冲发生电路。
(4)设计分时动态扫描显示控制的逻辑电路。
(5)输入的显示数据为8421BCD码,且并行输入。
3.电路图组成框图图14.元器件清单元器件:4位拨码开关4只,74LS161十六进制计数器—1片,74LS138译码器—1片,74LS240带三态输出反相器—4片,显示译码器7448, LED显示管 5 个 ,小灯4个,555定时器,28.86kΩ电阻一个,57.72kΩ电阻一个,10nF电容两个,电源,非门,与非门,导线若干。
仿真环境:软件Multisim。
5.各功能块电路图5.1 脉冲发生电路图2为28.86kΩ,该脉冲发生电路为由555定时器接成的多谐振荡器。
其中R1为57.72kΩ,C为10nF,则其产生脉冲的R2频率为:占空比为:5.2 计数器图3该计数器主要由一个74LS161构成,CLK端接收来自脉冲发生电路中555定时器的输出脉冲信号,74LS161对其脉冲进行计数,在其输出端QD QCQBQA产生从0000到1111的十六进制循环的高低电平信号,其QB QA产生的高低电平信号为00、01、10、11四进制的循环计数,若需要对六个数码管进行动态扫描显示,则需要将其改接成六进制计数器。
5.3 译码器图4该译码器使用的是74LS138,输入端AB接收来自计数器QA QB的高低电平信号,并对其进行译码,因为计数器产生的信号是四进制的,所以只需要用到AB两位,C端接低电平,输出端Y0-Y4根据输入信号的状态,对应位为低电平。
使用Proteus 建立4位LED动态扫描显示
使用Proteus 建立4位LED动态扫描显示1.关键器件选型Pic18f452的特点:高灌/拉电流:25mA/25mA;3个外部中断引脚,定时器0模块:具有8位可编程预分频器的8/16位定时器/计数器;定时器1模块:16位定时器/计数器;定时器2模块:具有8位周期寄存器的8位定时器/计数器(时基为脉宽调制);定时器3模块:16位定时器/计数器;辅助振荡器时钟选项:定时器1/定时器3;2个捕捉/比较/PWM模块。
CCP引脚,可以配置为:捕捉输入:16位捕捉模块,最大分辨率是6.25ns(TCY/16);16位比较模块,最大分辨率为100ns(TCY);PWM输出:最大PWM是1~10位。
最大PWM频率:当8位分辨率为156kHz,10位分辨率为39kHz;主同步串口(MSSP)模块;2种运作模式:3线SPITM(支持所有4线SPI模式);I2CTM主从模式;2.系统设计基本原理:利用单片机的两个I/.O端口,一个送位选择码,一个送字型码。
每次选择一位LED公共端有效,然后送出字型码,延时后送下一组数据。
设计程序:#include <p18F452.h>#include <adc.h>#include <delays.h>rom near char look7[10]=//7-segment lookup table{0x3f, //00x06, //10x5b, //20x4f, //30x66, //40x6d, //50x7d, //60x07, //70x7f, //80x6f, //9};int result,i,w;void main( void ){TRISD=0x00;TRISC=0x00;PORTD=0x00;while(1){PORTC=0xfe;// configure A/D convertorOpenADC( ADC_FOSC_32 &ADC_RIGHT_JUST &ADC_8ANA_0REF,ADC_CH0 &ADC_INT_OFF );// A/D 时钟源,晶振32分频率// A/D 转换结果右对齐// A/D 参考电压源,电源工作电压// 通道选择// 中断关闭,查询模式Delay10TCYx( 5 ); // Delay for 50TCYConvertADC(); // Start conversionwhile( BusyADC() ); // Wait for completionresult = ReadADC(); // Read resultCloseADC(); // Disable A/D converter//display the resultfor(i=0;i<4;i++){w=result%10;PORTD=look7[w];Delay1KTCYx(5);result=result/10;PORTD=0x00;PORTC=(PORTC<<1)|1;}}}设计结果:2.结束语通过本次实验基本掌握了对数模转换的设计,更深入的了解了pic18f452的使用与功能,其次本次实验的完成也让我对程序的编写能力得到了提升。
8位LED动态显示电路
8位LED动态显示电路1 电路的硬件连接和工作原理图1所示为单片机系统构成的8位LED动态显示电路,该电路以AT89C2051单片机为例。
电路使用器件少,仅用到了2片中规模集成电路74LS164和74LS138,占用CPU的I/O线少,特别适合于I/O线不是很多的单片机如AT89C2051/1051,97C2051/1051,PIC16Cxx 等系统中使用。
74LS164为串-并转换移位寄存器,数据端A,B(第1,2脚)接单片机RXD引脚,时钟端CLK接单片机TXD,并行8位数据输出端分别接8个数码管的A,B,C,D,E,F,G,H;74LS138为3线~8线译码器,译码器输入端A,B,C分别接AT89C2051的P1.0,P1.1,P1.2,译码器输出端Y0~Y7接8个数码管从低位到高位的共阴极端。
若所用的单片机不是AT89C2051,而选用了其他没有串行口的单片机如AT89C1051,则74LS164的数据输入端A,B可连接到P1.3,CLK端可连接到P1.4,这样设计不会影响系统的功能,仅仅在编写程序时略有差别。
若系统只需至多4位数码管显示,则74LS138可用74LS139(2线~4线译码器)代替。
电路中,要显示的数字所对应的字形码通过串行口送到74LS164,74LS138在同一时刻只有1位输出端为低电平,其他7位输出全为高电平,为低电平的对应的数码管选中,因此作为数码管显示时的位选信号。
系统在工作时,通过74LS164每次输出一个字形码,同时控制74LS138的译码器输入端A,B,C的电平状态,即从P1.0,P1.1,P1.2送出相应的电平,译码后使得要显示的对应位数码管共阴极端依次为低电平,即依次选中要显示的位,完成整个显示电路的动态扫描,依此扫描8次,完成8位数据的显示。
2 软件编写以AT89C2051单片机系统构成的8位LED动态显示电路的显示子程序流程如图2所示。
设待显示的数据放在显示缓冲区中,显示缓冲区的地址为70H,71H,…,77H,依次存放从低位到高位待显示的数据。
实验六 动态扫描显示电路设计
六位十进制计数显示电路
实验报告要求
秒脉冲发生器 扫描位控制信号产生电路 同一数字多位显示电路 六位十进制计数器 六位十进制扫描显示电路 六位十进制计数显示电路
实验六 动态扫描显示电路设计
1 学会使用74LS244总线驱动器、74LS48 7段译码器 2 设计秒脉冲产生电路 3 设计扫描位控制信号产生电路 4 用以上电路组成6位十进制扫描显示计数器
秒脉冲产生电路
扫描位控制信号产生Байду номын сангаас路
同一数字多位显示电路
七段译码器
六位十进制计数器
六位十进制扫描显示电路
数字动态扫描显示电路的设计
一、概述数字动态扫描显示电路是主要由实现信号输入和输出的译码器、LED 数码管、555多谐振荡器,节拍发生电路实现动态显示频率的振荡器与部分模拟器件构成的一种电子产品,显示则由四位LED 数码管显示,该数字动态扫描显示电路的功能是实现显示动态输入的四位数字。
数字动态扫描显示电路是由固定频率的信号做为节拍发生起器的时钟,由它控制节拍发生器各引脚的输出,使各引脚不断的输出高电平影响各个数码管显示,只有在和译码器相连的引脚输出高电平时数码管发光,否则不发光。
当各引脚输出高电平的频率达到一定程度时,感觉不到数码管的闪烁,从而保护了数码管并且不会影响数据显示。
二、方案论证设计一个动态扫描显示电路能够使四位数码管按照一定的顺序轮流地发光显示。
方案一:方案一原理框图如图1所示。
图1 动态扫描显示电路的原理框图方案二:方案二原理框图如图2所示。
图2 动态扫描显示电路数字开关编码器振荡器 计数选择器 显示器译码器振荡器 节拍发生器 译码器 显示电路本设计采用的是方案二,数字动态扫描显示主要由节拍发生器,译码器,振荡器,和显示器组成。
中间实现数值的译码,显示器则实现数字的输出,相应的数字就在相应的LED 数码管显示,当开关拔开时则相应的数码管熄灭。
数字动态扫描显示电路是由固定频率的信号做为节拍发生器的时钟,由它控制节拍发生器各引脚的输出,使各引脚不断的输出高电平影响各个数码管显示,只有在和译码器相连的引脚输出高电平时数码管发光,否则不发光。
当各引脚输出高电平的频率达到一定程度时,感觉不到数码管的闪烁,从而保护了数码管并且不会影响数据显示。
三、电路设计1振荡电路为了避免出现闪烁现象,扫描频率不能太低,人眼的临界闪烁是50HZ ,一般可将显示位数乘以50HZ ,作为节拍发生器的时钟。
图3 多谐振荡电路多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生器。
“多谐”指矩形波中除了基波成分外,还含有丰富的高次谐波成分。
多位LED扫描译码驱动电路
资料范本本资料为word版本,可以直接编辑和打印,感谢您的下载多位LED扫描译码驱动电路地点:__________________时间:__________________说明:本资料适用于约定双方经过谈判,协商而共同承认,共同遵守的责任与义务,仅供参考,文档可直接下载或修改,不需要的部分可直接删除,使用时请详细阅读内容电气信息学院多位LED扫描译码驱动电路课程设计报告课题名称电子技术课程设计专业班级 12级电气自动化02班学号 1204190224学生姓名王嬉嬉指导教师郑宽磊评分2014年 5 月 26日至 6 月 15 日目录摘要 3一.课程设计目的 4二.方案分析与选择 42.1电阻42.2 二极管 62.3 LED数码管92.474LS161…………………………………………………………………………… ..102.574LS153 (11)2.674LS138 (12)2.7CD4511 (13)三.多位LED译码扫描驱动电路原理 153.1设计多位LED译码驱动电路框图153.2方波产生电路153.3方波转化成脉冲信号163.4LED动态扫描和驱动电路163.5LED动态扫描原理 (17)四.仿真与调试 18五.元件清单.20六.心得体会 (20)七.参考文献 (21)摘要LED(Light Emitting Diode)行业现在成为一个快速发展的新兴产业,市场空间巨大,前景广阔。
本文介绍了多位LED扫描译码驱动电路,其中着重介绍了LED动态扫描的硬件设计思路、硬件电路各个部分的功能及原理、及详细的使用说明和工作流程。
LED数码管是利用7个LED(发光二极管)外加一个小数点的LED组合而成的显示设备. LED数码管可以显示0~9等10个数字和小数点, 通常用来显示时间、速度、系统状态等。
关键词: LED数码管动态扫描译码器ABSTRACTLED (Light Emitting Diode) industry has now become a fast-growing emerging industry. The market has big and broad prospects. Based ona number of LED scan decoding drive circuit , this article describes the LED Dynamic scan display and interface design.Its focus is on the LED dynamic scanning hardware design ideas, features and principles of the various parts of the hardware circuit, and the corresponding software programming, as well as detailed instructions for use and work progress.As a new display, LED digital tube is one display device combined by seven LED (light emitting diode) and the decimal point LED.LED digital tube can be displayed 0 to 9 total 10 digits and decimal points,Usually it’s used to display time, speed and system state widely.Keywords: Light Emitting Diode dynamic scanning the decoder一.课程设计的目的1)巩固和加深所学电子技术课程的基本知识(数字部分和模拟部分),提高综合运用所学知识的能力。
【精品】多位LED-显示器动态扫描驱动电路设计完整版
多位L E D-显示器动态扫描驱动电路设计完整版《多位LED 显示器动态扫描驱动电路》报告(一)目的:1、了解多位LED 显示器动态扫描驱动电路的基本工作原理;2、完成多位LED 显示器动态扫描驱动电路设计并分析计算单元电路;3、绘制多位LED 显示器动态扫描驱动电路图,针对工作原理进行参数估算;4、电路功能的检测和调试;5、设计答辩,完成设计报告。
(二)结构图(三)电路总体功能概述该电路的功能是通过控制数据选择器输入端的高低电平来使四个LED显示器可以显示0-9任意一个数字,实现动态扫描功能。
由振荡电路,控制电路,四位四选一数据选择器,一位LED译码驱动电路和四位LED显示电路组成。
首先用555定时器构成频率为1000赫兹多谐振荡器产生脉冲信号,再将信号传输到74构成的二位二进制触发器,使该触发器输出00,01,10,11。
将触发器的两输出端分别接到数据选择器153的S1,S0,将四个输入D0或D1D2D3信号传输到LED显示电路,使LED显示不同数字,将触发器的两输出端接到138的A1,A0(A2接0),再将138的输出Y0,Y1,Y2,Y3接到四个LED的共阴极,控制LED的显示状态,把74ls153的输出端与cc4511的四个输入端相连,把LED显示器的a,b,c,d,e,f,g与cc4511的输出端相连,这样便可以通过555定时器产生的脉冲控制四个LED的显示顺序,同时调整153的输入端的高低电位,这样便实现了对四个LED的显示控制,可随意现实0至9的数字。
振荡电路由555定时器构成的多谐振荡电路组成,有振荡电路提供脉冲,振荡周期T=Tpl+Tph=R1*C*ln2+(R1+R2)*C*ln2=0.001s,则其振荡频率为1000Hz,多谐振荡器在接通电源后无需外接触发信号就可以产生矩形脉冲或方波。
控制电路是一片74LS74构成的两位二进制触发器,它接收到控制电路的振荡脉冲,产生00,01,10,11的输出信号。
LED动态扫描显示器接口与程序的设计与实现项目设计方案
LED动态扫描显示器接口及程序设计与实现项目设计方案1.1LED动态扫描显示器接口及程序设计背景LED的发展惠及大型商场、码头、车站、地铁站以及各类办事窗口等越来越多的场所需要用 LED 点阵显示图形和汉字。
LED已成为一个快速发展的新兴产业,市场空间巨大,前景广阔。
LED 点阵设计主要应用于显示屏,它是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。
由于它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室室外环境适应能力强等优点,随着信息产业的高速发展,LED 显示作为信息传播的一种重要手段,已广泛应用于室外需要进行服务容和服务宗旨宣传的公众场所,例如户外公共场所广告宣传、机场车站旅客引导信息、公交车辆报站系统、证券与银行信息显示、餐馆报价信息豆示、高速公路可变情报板、楼宇灯饰、体育馆比赛转播、交通信号灯、景观照明等。
已然LED 显示已成为城市量化、现代化和信息化的一个重要标志。
自二十世纪 80 年代后期开始,随着LED 制造技术的不断完善,在国外得到了广泛的应用。
在我国改革开放之后,特别是进入90 年代国民经济高速增长,对公众场合发布信息的需求日益强烈,LED 显示屏的出现的时机正好适应了这一市场形势,所以在 LED 显示屏的设计、制造技术与应用水平上都得到了迅速的提高和发展。
LED 显示屏经历了从单色、双色图文显示屏,到图像显示的发展过程。
2LED动态扫描显示器接口及程序设计实现1.2LED动态扫描LED数码管动态扫描:说到动态扫描,一般都是两个以上的数码管联合使用,数据线每次只为一个数码管服务,更换服务的LED数码管,数字也相应变化,然后利用人类眼睛的“视觉暂留”原理,让看的人误以为是每个数码管都是同时点亮的。
其实数码管都是一个一个亮过去的,每个数码管显示它该现实的数字,中间只间隔十毫秒,由于断电的那几毫秒数码管有余晖,人类眼晶看上去好像所有排数码管都是亮的。
1.2.1LED显示控制技术状况LED显示屏的控制系统包括输入接口电路、信号控制、转换和数字化处理电路和输出接口电路等等,牵扯到的具体专业技术有很多,最关键技术包括串行传输与并行传输技术、动态扫描与静态锁存技术、远程控制和自动检测技术等。
实验二动态扫描显示电路设计
实验二动态扫描显示电路设计一、设计要求1、设计要求设计一个四位LED数码显示动态扫描控制电路,显示4位十进制数或4字母的单词,要求显示内容可以通过按键切换。
2、硬件环境LP-2900开发装置的LED数码管为共阴显示器,六个显示器的七个段控制a~g及小数点dp分别对应相连,各显示器的共阴极分别由一个3线-8线译码器74138的输出Y0~Y5控制。
译码器的3位输入码分别由FPGA的I/O端口DE3、DE2、DE1控制,如图1所示。
图1 LP-2900开发装置FPGA与LED数码显示器的电路连接3线-8线译码器的3位输入码DE3、DE2、DE1为“000”~“101”时,输出Y0~Y5中有一个为0,FPGA的a~g端口将控制共阴极为0的数码管显示。
比如,当DE3、DE2、DE1为“011”时,Y3=0,数码管C4显示。
二、设计原理分析多位七段显示器的控制分为静态和动态扫描两种方法。
静态驱动方法是将所有显示器的公共端都接有效电平,各位显示器的段控制信号互不相干,分别控制。
这样,n位显示器需要7×n个控制信号(不包括小数点),即需要FPGA的56个I/O口对其进行控制。
动态扫描方法是将所有显示器的各个段控制端(a、b…、g、dp)一一对应连接,而各显示器的公共端COM由位扫描信号分别控制。
这样,n位显示器只需要8+n个控制信号(包括小数点)。
比如,LP-2900开发装置上B区的6个共阴显示器采用了动态扫描驱动方式,6个共阴端C1~C6由通过一个3线-8线译码器分时控制,电路原理如图1所示。
这样FPGA 只需要11个I/O口,其中8个控制段信号、3个输出二进制码(“000”~“101”)控制C1~C6。
1.动态显示扫描控制动态扫描驱动电路中所有的显示器由相同的段信号控制,公共端有效的显示器将显示相同的字符。
所以,要使各显示器显示不同的内容,必须控制它们的公共端分时轮流有效。
每个显示器只在其公共端为有效电平时根据段码信号显示相应的字符,公共端无效时灭显。
数字动态扫描显示电路设计
湘潭大学课程设计说明书题目:数字动态扫描显示电路设计课程名称:电子技术综合设计学院:信息工程学院专业:自动化学号:姓名:指导教师:完成日期:2017年3月课程设计任务书目录摘要: 01.设计背景 (2)1.1设计目的 (2)1.2任务阐述 (2)1.3 任务分析 (2)1.4 课题项目管理计划进度表 (2)2.设计方案 (4)2.1 系统方案设计 (4)2.2 单元电路设计 (4)2.3 完整电路设计 (6)3. 方案实施 (7)3.1 系统仿真及性能分析 (7)3.2 硬件制作与调试 (7)4. 结果与结论 (8)4.1 设计结果 (8)4.2 设计结论 (8)5. 收获与致谢 (9)6. 参考文献 (10)7. 附件 (11)数字动态扫描显示电路设计摘要:动态扫描显示技术已被广泛应用。
为深入理解其工作原理, 设计采用了一个环形计数器来作为动态扫描输出信号,通过译码器电路完成数码管显示, 文章说明了具体设计和实现方式以及意义。
该设计对数字电路综合性、设计性实验的开发是一次成功学习。
关键词:动态扫描显示;环形计数器;74LS47译码器1.设计背景1.1设计目的1.掌握动态显示技术的工作原理及其应用;2.掌握环形计数器电路、数码管译码器电路的知识;3.了解数字电路知识;4.通过实验培养学生的市场素质,工艺素质,自主学习的能力,分析问题解决问题的能力以及团队精神。
1.2任务阐述1.设计一个动态扫描显示电路,能够使各数码管按照一定的顺序轮流发光显示。
2.振荡电路输出方波频率≥200Hz 。
3.采用D触发器设计顺序脉冲发生器,要求输出状态为0000-0001-0010-0100-1 000-0000。
4.显示译码器输入数据分别为1、2、3、4。
5.利用顺序秒冲发生器的输出脉冲控制显示译码器的消隐控制端。
1.3 任务分析根据设计任务要求考虑使各位数码管按照一定顺序轮流发光,故选择采用4个CD4511数码管译码器驱动数码管工作。
动态扫描显示电路设计
动态扫描显示电路设计摘要:所谓动态扫描显示,就是让各位LED按照一定的顺序轮流地发光显示。
只要每秒扫描次数大于24次以上,就观察不到闪烁现象,人眼看起来很稳定。
静态扫描显示与动态显示相比,有显著降低LED功耗,大大减少LED的外部引线等优点。
目前动态扫描显示技术已经被广泛应用于新型数字仪表、智能仪器和智能显示屏中。
本次课程实践中运用QuartusII软件,采用VHDL文本设计和原理图相结合的层次化方式实现数码动态扫描显示电路设计。
首先,分别用VHDL语言编写8位数码扫描显示电路程序和分频器程序,作为底层文件;顶层文件用原理图的设计方法,调用底层文件生成的符号,从而实现动态扫描显示。
用VHDL设计一个8位数码扫描显示电路,利用QuartusII9.0进行编辑输入、编译及时序仿真。
其中,由于分频器的分频系数过大时,在仿真波形上很难看出波形的变化,如本设计是从100MHz分频到1KHz,分频系数为一万,所以可以通过改变减小分频系数,如改为10分频,就得到变化的波形,来验证数码动态扫描显示电路设计的正误。
关键字:LED 动态扫描显示电路 Quartus II 分频器层次化设计一、工作原理:1、动态扫描显示的工作原理:8位数码扫描显示的电路符号如下图(1)所示。
输入信号:时钟信号CLK。
输出控制信号:段控制信号SG[6..0];位控制控制信号BT[7..0]。
如图(2)所示是8位数码扫描显示电路,其中每个数码管的8个段h、g、f、e、d、c、b、a(h是小数点)都分别连接在一起,8个数码管分别由8个选通信号k1~k8来选择。
被选通的数码管显示数据,其余关闭。
如在某一时刻,k3为高电平,其余选通信号为低电平,这时仅为k3对应的数码管显示来自段信号端的数据,而其他7个数码管呈现关闭状态。
根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1~k8分别被选通,与此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。
多位led动态课程设计
多位led动态课程设计一、课程目标知识目标:1. 让学生掌握多位LED的基本原理和动态显示的编程方法。
2. 让学生理解数字逻辑和时序控制在实际应用中的重要性。
3. 让学生掌握相关的电子电路知识,能够解释多位LED动态显示背后的电路工作原理。
技能目标:1. 培养学生动手搭建和调试基于多位LED的电子电路的能力。
2. 培养学生使用编程软件进行动态显示程序编写和修改的能力。
3. 提高学生的问题解决能力,能够独立处理在多位LED动态显示过程中遇到的技术问题。
情感态度价值观目标:1. 培养学生对电子技术和编程的兴趣,激发学生的学习热情。
2. 培养学生的团队协作意识和创新精神,鼓励学生在项目中进行交流与合作。
3. 增强学生的环保意识,让学生明白电子废弃物的正确处理方法。
课程性质:本课程为实践性强的电子与编程技术课程,结合理论知识与实际操作,使学生能够深入了解多位LED动态显示技术的应用。
学生特点:考虑到学生年级的特点,课程内容将深入浅出,注重培养学生的动手能力和实际应用能力。
教学要求:教师应注重理论与实践相结合,关注学生的个别差异,提供有针对性的指导,确保学生能够达到预设的课程目标,并能够将所学知识应用于实际项目中。
通过课程目标的分解,教师可以对学生的学习成果进行有效评估,以便调整教学策略,提高教学质量。
二、教学内容1. 理论知识:- 介绍多位LED的基本结构、工作原理及其在电子产品中的应用。
- 数字逻辑基础,包括逻辑门、触发器及时序控制。
- 动态扫描原理及其在多位LED显示中的应用。
2. 实践操作:- 搭建多位LED显示电路,学习电路连接和调试技巧。
- 编程控制,使用Arduino或micro:bit等编程平台编写动态显示程序。
- 软硬件结合,实现多位LED的动态扫描显示。
3. 教学大纲:- 第一阶段:LED原理及应用(1课时)- 第二阶段:数字逻辑基础(1课时)- 第三阶段:动态扫描原理(1课时)- 第四阶段:实践操作(2课时)- 电路搭建与调试(1课时)- 编程与显示控制(1课时)4. 教材章节:- 第一章:电子元件基础- 第二章:数字逻辑电路- 第三章:微控制器编程- 第四章:电子电路实践教学内容按照教学大纲安排,注重科学性和系统性。
六位数码管动态扫描显示电路设计与实现
可编程逻辑器件技术项目设计报告课题名称:六位数码管动态扫描显示电路设计与实现班级:13电子(2)班******指导老师:***一、设计要求1)用VHDL语言设计一个可以实现以下功能的程序:六个数码管可以同时工作,显示不同的数字。
2)编写完程序之后并在开发系统上进行硬件测试。
二、任务分析串行连接,即每个数码管对应的引脚都要连接在一起(如每个数码管的a引脚都要接到一起,然后再接到CPLD/FPGA上的一个引脚上),通过控制公共端(图5中的K端)控制相对应的数码管亮、灭(共阴极数码管的公共端为高电平时,LED不亮;共阳极的公共端为低电平时,LED不亮)。
串行法的优点在于消耗的系统资源少,占用的I/O口少,N个数码管只需要(7+N)个引脚(如果需要小数点,则是(8+N)个引脚)。
其缺点是控制起来不如并行法容易。
三、设计原理四、硬件要求主芯片EPM240T100C5,六个共阴极七段数码管。
五、源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dt isport(clock:in std_logic;numa,numb: in integer range 0 to 9;en:out std_logic_vector(0 to 5);display:out std_logic_vector(0 to 6)--(a~g) );end;architecture decoder of dt issignal counter:integer range 0 to 9;signal clk_1:std_logic;signal numc,numd,nume,numf:integer range 0 to 9; beginnumc<=0;numd<=0;nume<=0;numf<=0;process(clock)variable cnt1: integer range 0 to 1000;variable cnt2: integer range 0 to 50;------------------------------------------------------------------1000Hzbeginif rising_edge(clock) thenif cnt1=1000 thencnt1:=0;If cnt2=50 thencnt2:=0;clk_1<=not clk_1;elsecnt2:=cnt2+1;end if;elsecnt1:=cnt1+1;end if;end if;end process;-----------------------------------------------------------------------process(clk_1)variable num:integer range 0 to 9; beginif rising_edge(clk_1) thenif counter=5 thencounter<=0;elsecounter<=counter+1;end if;case counter iswhen 0=>en<="011111";num:=numa;when 1=>en<="101111";num:=numb;when 2=>en<="110111";num:=numc;when 3=>en<="111011";num:=numd;when 4=>en<="111101";num:=nume;when 5=>en<="111110";num:=numf;when others=>en<="000000";num:=0;end case;case num iswhen 0=>display<="1111110";when 1=>display<="0110000";when 2=>display<="1101101";when 3=>display<="1111001";when 4=>display<="0110011";when 5=>display<="1011011";when 6=>display<="0011111";when 7=>display<="1110000";when 8=>display<="1111111";when 9=>display<="1111011";when others=>display<="0000000"; end case;end if;end process;end;六、接线图七、现象描述以上程序在硬件上的现象是从左往右数,前四个显示字形为0,后两个数码管由八个拨码开关控制显示字形。
动态扫描显示电路
实验四动态扫描显示电路的驱动一实验目的了解教学系统中8位八段数码管显示模块的工作原理,学习扫描显示电路的设计,设计标准扫描驱动电路模块,以备后面实验调用。
二实验原理下图所示的是8位数码扫描显示电路,其中每个数码管的8个段:h、g、f、e、d、c、b、a(dp是小数点)都连在一起,8个数码管分别由8个选通信号k1~k8来选择。
被选通的数码管显示数据。
例如,在某一时刻,k3为高电平,其余选通信号为低电平,这时仅k3对应的数码管显示来自段信号端的数据,而其他7个数码管呈现关闭状态。
根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1~k8分别被单独选通,与此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。
SCAN_LED.VHD是扫描显示的示例程序,其中CLK是扫描时钟;SG(6 downto 0)为7段控制电路,由高位至低位分别接g、f、e、d、c、b、a 7个段;BT(7 downto 0)是位选控制信号,接图中的8个选通信号k1~k8。
程序中CNT8是一个3位计数器,作扫描计数信号,由进程P2生成;进程P3是7段译码查表输出程序;进程P1是对8个数码管选通扫描程序,例如当CNT8等于“001”时,K2对应的数码管被选通,同时,A被赋值3,再由进程P3译码输出“1001111”,显示在数码管上即为“3”;当CNT8扫变时,将能在8个数码管上显示数据:13579BDF。
三实验内容1.说明提供的参考实验源程序中各语句的含义以及整体功能。
对该设计文件进行编辑后进行编译、仿真(给出仿真波形)、引脚锁定、下载和硬件测试实验,在8个数码管上显示数据:13579BDF实验方法:(1)在QuartusⅡ上完成设计文件输入后进行编译、仿真(给出仿真波形)、引脚锁定和器件下载,目标器件是EP1C3T144C8;在实验系统上硬件验证该实验的功能。
多位led动态课程设计
多位led动态课程设计一、教学目标本课程旨在通过多位LED动态显示的设计与实现,让学生掌握数字电路的基本原理,提升电子设计能力。
知识目标要求学生理解数字电路的基本组成,掌握逻辑门电路、触发器、计数器等核心知识。
技能目标则要求学生能够独立设计简单的数字电路,并利用LED进行动态显示。
情感态度价值观目标在于培养学生的创新意识,提高他们解决实际问题的能力,增强对电子科技的情感。
二、教学内容教学内容围绕数字电路的核心知识展开,包括逻辑门电路、触发器、计数器等。
首先,通过理论讲解使学生了解这些基本概念,并通过实际电路的演示让学生直观地理解其工作原理。
接着,通过实例分析,让学生掌握如何将这些基本电路组合起来实现复杂的数字功能。
最后,通过设计一个多位LED动态显示电路,让学生综合运用所学知识,提高实际操作能力。
三、教学方法为激发学生的学习兴趣,提高他们的主动性和创新能力,本课程将采用多种教学方法。
包括讲授法,使学生掌握基本概念和原理;案例分析法,让学生通过分析实际电路加深对知识的理解;实验法,让学生通过动手操作,提高实际设计和制作能力;以及讨论法,鼓励学生相互交流,培养团队合作精神。
四、教学资源为支持教学内容的实施,我们将选择和准备丰富的教学资源。
包括权威的教材和参考书,为学生提供系统的理论知识;多媒体资料,以直观的方式演示电路的工作原理;以及实验设备,让学生能够亲手操作,提高实践能力。
五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分,以全面、客观、公正地评价学生的学习成果。
平时表现主要评估学生在课堂上的参与度、提问和回答问题的表现,以考察其对知识的掌握和运用能力。
作业则主要评估学生的理解和应用能力,要求学生在课后完成相关的设计任务,以巩固和拓展所学知识。
考试则全面考察学生的理论知识和实践能力,包括选择题、填空题、解答题和设计题等形式。
六、教学安排本课程的教学安排将分为12个课时,每周一次,每次2小时。
多功能串行LED显示驱动器MAX7219
多功能串行LED显示驱动器MAX7219MAX7219是美国MAXIM(美信)公司推出的多位LED显示驱动器,采用3线串行接口传送数据,可直接与单片机接口,用户能方便修改其内部参数,以实现多位LED显示。
它内含硬件动态扫描显示控制,每枚芯片可驱动8个LED数码管。
显然,它可直接驱动64段LED条形图显示器。
当多片MAX7219级联时,可控制更多的LED。
显示的数据通过单片机数据处理后,送给MAX7219显示。
当然,也完全可以将MAX7219的一部分用于条形图显示,一部分用于其他显示(如数字和字母等)。
1.内部逻辑结构它主要由8个数位寄存器和6个控制寄存器组成:1)数位寄存器7~0:它决定该位LED显示内容。
2)译码方式寄存器:它决定数位寄存器的译码方式,它的每一位对应一个数位。
其中,1代表B码方式;0表示不译方式。
若用于驱动LED数码管,应将数位寄存器设置为B码方式;当用于驱动条形图显示器时,应设置为不译码方式。
3)扫描位数寄存器:设置显示数据位的个数。
该寄存器的D2~D0(低三位)指定要扫描的位数,支持0~7位,各数位均以1.3kHz的扫描频率被分路驱动。
4)亮度控制寄存器:该寄存器通常用于数字控制方式,利用其D3~D0位控制内部脉冲宽度调制DAC的占空比来控制LED段电流的平均值,实现LED的亮度控制。
D3~D0取值可从0000~1111,对应电流占空比则从1/32变化到31/32,共16级,D3~D0的值越大,LED显示越亮。
而亮度控制寄存器中的其他各位未使用,可置任意值。
5)显示测试寄存器:它用来检测外挂LED数码管各段的好坏。
当D0置为1时,LED处于显示测试状态,所有8位LED的段被扫描点亮,电流占空比为31/32;若D0为0,则处于正常工作状态。
D7~D1位未使用,可任意取值。
6)关断寄存器:用于关断所有显示器。
当D0为0时,关断所有显示器,但不会消除各寄存器中保持的数据;当D0设置为1时,正常工作。
动态扫描显示电路设计
实验七、动态扫描显示电路设计(1)实验目的:学习动态扫描显示电路的设计。
(2)实验原理:图1是8位数码扫描显示电路,其中每个数码管的8个段(A、B、C、D、E、F、G、DP)都分别连在一起,8个数码管分别由3个选通信号LI0、LI1、LI2经过译码器得到的8个信号来选择。
当LI2LI1LI0=”000”时L1被选通显示数据,其余的关闭;当LI2LI1LI0=”001”时L2被选通显示数据,其余的关闭。
通过LI2LI1LI0的动态变化到达多位数字的显示。
图1 8位数码扫描显示电路(3)实验内容:在实验三模为60的8421BCD码加1计数器的基础上,采用动态扫描显示计数结果。
(4)附加题:用6个数码管显示数字钟。
(5)思考题:动态扫描显示的频率大致范围是多少?library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bcd60 isport(clk,rst_n:in std_logic;ql,qh:out std_logic_vector(3 downto 0);cout: out std_logic;we1,we2: out std_logic :='0';LED7S :out std_logic_vector(7 downto 0));end;architecture rtl of bcd60 issignal cnt1hz :std_logic_vector(24 downto 0);signal clk1hz :std_logic;signal cnt20hz: std_logic_vector(24 downto 0);signal clk20hz: std_logic;signal qlt,qht:std_logic_vector(3 downto 0);signal flag: std_logic :='0';beginprocess(rst_n,clk)beginif(rst_n='0')thencnt1hz <=(others=>'0');clk1hz <='0';elsif (clk'event and clk='1')thenif (cnt1hz=9999999)then --仿真时用9,用开发板时用9999999cnt1hz<=(others=>'0');clk1hz<=not clk1hz;else cnt1hz<=cnt1hz+1;end if;end if;end process; -- 分频得到计数时钟process(rst_n,clk)beginif(rst_n='0')thencnt20hz <= (others=>'0');clk20hz <= '0';elsif (clk'event and clk='1')thenif(cnt20hz=99999) thencnt20hz <= (others=>'0');clk20hz <= not clk20hz;else cnt20hz <= cnt20hz +1;end if;end if;end process; ---分频得到扫描时钟200hzprocess(clk20hz)beginif clk20hz 'event and clk20hz='1' thenflag <= not flag;end if;end process; --- 扫描进程process(rst_n,clk1hz)beginif(rst_n='0')thenqlt<="0000";qht<="0000";elsif(clk1hz'event and clk1hz='1')thenif qlt="1001"thenif qht="0101"thenqlt<="0000";qht<="0000";elseqlt<="0000";qht<=qht+1;end if;elseqlt<=qlt+1;end if;end if;end process; --- 模为60的十进制计数器process(flag)beginif (flag = '1') thenwe1<='1';we2<='0';case qlt iswhen "0000" => LED7S <="00111111"; --0when "0001" => LED7S <="00000110"; --1when "0010" => LED7S <="01011011"; --2when "0011" => LED7S <="01001111"; --3when "0100" => LED7S <="01100110"; --4when "0101" => LED7S <="01101101"; --5when "0110" => LED7S <="01111101"; --6when "0111" => LED7S <="00000111"; --7when "1000" => LED7S <="01111111"; --8when "1001" => LED7S <="01101111"; --9WHEN OTHERS => NULL;end case;elsewe2<='1';we1<='0';case qht iswhen "0000" => LED7S <="00111111"; --0when "0001" => LED7S <="00000110"; --1when "0010" => LED7S <="01011011"; --2when "0011" => LED7S <="01001111"; --3when "0100" => LED7S <="01100110"; --4when "0101" => LED7S <="01101101"; --5-- when "0110" => LED7S <="01111101"; --6-- when "0111" => LED7S <="00000111"; --7-- when "1000" => LED7S <="01111111"; --8-- when "1001" => LED7S <="01101111"; --9WHEN OTHERS => NULL;end case;end if;end process; --数码管显示ql<=qlt;qh<=qht;cout<='1'when qlt=9 and qht=5 else '0';end;。
LED动态扫描控制器的设计
《EDA技术》课程实验报告学生姓名:黄红玉所在班级:电信100227指导教师:高金定老师记分及评价:一、实验名称实验7:LED动态扫描控制器的设计二、任务及要求【基本部分】10分1、新建原理图文件,调用以前的电子钟模块、LED显示译码器模块及其他计数器模块、译码器模块等,实现电子钟时、分、秒的结果在模式B数码管上以动态扫描的方式显示出来。
2、设计完成后生成一个元件,以供更高层次的设计调用。
3、实验箱上选择模式B进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。
【提示】数码管为共阴极,低电平选中。
模式B不提供电平键,电子钟等模块的使能、清零信号等直接接电源或地(正常工作)。
位段数据,接个数码管公 组4位二进制数据位选信三、 实验程序六进制计数器程序: library ieee;use ieee.std_logic_1164.all; entity CNT6 isport(clk: in std_logic;en: in std_logic; clr: in std_logic;gw: buffer integer range 0 to 5);end entity CNT6;architecture ART of CNT6 is beginprocess(clk,en,clr) beginif (clr='1') thengw<=0;elsif (clk'event and clk ='1' ) then gw<=gw+1;if (en ='1') thenif gw=5 thengw<=0;end if;end if;end if;end process;end;六选一数据选择器:library ieee;use ieee.std_logic_1164.all;entity mux61a isport(a,b,c,d,e,f:in std_logic_vector(3 downto 0);s1,s2,s3:in std_logic;z:out std_logic_vector(3 downto 0));end entity mux61a;architecture art of mux61a issignal s:std_logic_vector(2 downto 0);begins<=s1&s2&s3;process(s,a,b,c,d,e,f)begincase s iswhen "000" =>z<=a;when "001" =>z<=b;when "010" =>z<=c;when "011" =>z<=d;when "100" =>z<=e;when "101" =>z<=f;when others =>z<=null;end case;end process;end architecture art;四、仿真及结果分析时钟输出6组4位二进制数据,时钟脉冲频率为1Hz,六选一的数据选择器选择一数据输出,六选一的数据选择器选择这是用来选择外界输入的数据,7段LED显示译码器,7位段数据,接6个数码管公共的数据线a,b,c,d,e,f,g。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《多位LED 显示器动态扫描驱动电路》报告(一)目的:
1、了解多位LED 显示器动态扫描驱动电路的基本工作原理;
2、完成多位LED 显示器动态扫描驱动电路设计并分析计算单元电路;
3、绘制多位LED 显示器动态扫描驱动电路图,针对工作原理进行参数估算;
4、电路功能的检测和调试;
5、设计答辩,完成设计报告。
(二)结构图
(三)电路总体功能概述
该电路的功能是通过控制数据选择器输入端的高低电平来使四个LED显示器可以显示0-9任意一个数字,实现动态扫描功能。
由振荡电路,控制电路,四位四选一数据选择器,一位LED译码驱动电路和四位LED显示电路组成。
首先用555定时器构成频率为1000赫兹多谐振荡器产生脉冲信号,再将信号传输到74构成的二位二进制触发器,使该触发器输出00,01,10,11。
将触发器的两输出端分别接到数据选择器153的S1,
S0,将四个输入D0或D1D2D3信号传输到LED显示电路,使LED显示不同数字,将触发器的两输出端接到138的A1,A0(A2接0),再将138的输出Y0,Y1,Y2,Y3接到四个LED的共阴极,控制LED的显示状态,把74ls153的输出端与cc4511的四个输入端相连,把LED显示器的a,b,c,d,e,f,g与cc4511的输出端相连,这样便可以通过555定时器产生的脉冲控制四个LED的显示顺序,同时调整153的输入端的高低电位,这样便实现了对四个LED的显示控制,可随意现实0至9的数字。
振荡电路由555定时器构成的多谐振荡电路组成,有振荡电路提供脉冲,振荡周期T=Tpl+Tph=R1*C*ln2+(R1+R2)*C*ln2=0.001s,则其振荡频率为1000Hz,多谐振荡器在接通电源后无需外接触发信号就可以产生矩形脉冲或方波。
控制电路是一片74LS74构成的两位二进制触发器,它接收到控制电路的振荡脉冲,产生00,01,10,11的输出信号。
数据选择器由两片74LS153构成,其功能为四选一数据选择器,接收控制电路的输出信号,分别选择四个输入D0或D1或D2或D3信号传输到LED显示电路,使LED显示不同数字译码驱动电路由一片八选一的数据选择器74LS138组成,它接受控制电路输出的信号同时将自身的输出信号分别连接到4片LED的共阴极控制LED显示器的工作状态.
显示电路由一片4511构成,其接收74LS153输出的信号分别使四个LED显示器显示8421BCD码所代表的十进制数。
(四)元器件表
CD4511 1片,NE555 1片,74LS138 1片,七段共阴极数码管4只,74LS153 2片,74LS74 1片,0.1μF 电容2个,5.1KΩ电阻1个,4.7KΩ电阻1个,面包板1块,5V 稳压电源1台。
(五)芯片功能
(1) NE555芯片
①NE555各脚主要功能如下:
1地GND 2触发3输出4复位5控制电压6门限(阈值)7放电8电源电压V CC
②NE555芯片功能
555 芯片是定时器,是一种模拟和数字功能相结合的中规模集成器件。
555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。
它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。
它提供两个基准电压VCC /3 和2VCC /3。
555 定时器的功能主要由两个比较器决定。
两个比较器的输出电压控制 RS 触发器和放电管的状态。
在电源与地之间加上电
压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为 2VCC /3,A2 的同相输入端的电压为VCC /3。
若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出为1。
如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置 0,使输出为0。
(2)74LS74 芯片
功能:74LS74是一个双D触发器,其功能比较的多,可用作寄存器,移位寄存器,振荡器,单稳态,分频计数器等功能。
此电路中,74LS74作分频器使电路产生四分频。
用,将脉冲信号进行四分频。
(3)74LS153 芯片
74LS153是一个双4选1数据选择器,S1、S2为两个独立的使能端,低电平有效;A1、A0为公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;1Y、2Y为两个输出端。
(1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Y=0。
(2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A1A0的状态,将相应的数据D0~D3送到输出端Y。
(4)74LS138 芯片
74ls138引脚图3线-8线译码器74LS138的功能表
74LS138是3线—8线译码器,该译码器有3位二进制输入A2A1A0,它们共有8种状态的组合,即可译出8个输出信号0Y~7Y,输出为低电平有效,当使能输入端E3=1,且2E=3E=0时,该译码器处于工作状态.
(5) CD4511 芯片
(六)电路测试方法和调试过程
首先,我们根据画出的原理图连接电路,接入电源后,发现四个显示器只亮两个,开始排查原因。
先测试显示器的好坏,将CD4511芯片的T L置0,发现四个显示器都显示8;再检测电路是否接通,用试电笔检测每个接孔的状态,结果正常;再用示波器检测分频器工作状态,发现74LS74芯片的两个输出的波形一致,但用双踪示波器检测时,两个波形不一致,于是猜想是频率过高造成的;最后在555芯片上加一个47uf的电容来降低频率,结果四个显示器都亮,并且能成功扫描。
然后,我们开始对74LS153的1D.2D.3D.4D进行置数,结果发现显示器显示的数字总是不能与我们想要的相同,经过对芯片的分析后,发现我们把置数的原理理解错了,发现CD4511的四个输入分别
由74LS74芯片的1D
,2D1,3D1,4D1;1D2,2D2,3D2,4D2;1D3,2D3,3D3,
1
4D3;1D4,2D4,3D4,4D4 控制,弄清原理后,成功的得到了想要的数字。
(七)思考题
1.同样是七段共阴极数码管的译码驱动电路,74LS48和CC4511在逻辑功能和控制引脚上有什么区别?
答:区别1:74LS48芯片可以输出0~15,而CC4511芯片只能输出0~9,都动能表可以看出,在输出10~15时消隐。
区别2:74LS48控制端RBI可以不置数,而CC4511的控制端都要置高电平才能正常工作。
2.使用动态扫描译码驱动电路与普通的直接译码驱动电路相比有什么优势和不足?
答:优势:使用普通的直接译码驱动电路一片芯片只能控制一个LED 显示器,本实验中有四个显示器,就需要四片芯片,而使用动态扫描译码驱动电路只需要一片芯片就可以控制四个显示器,节省了芯片,体现了实验的节约原则。
不足:使用动态扫描译码驱动电路需要的芯片多,电路复杂,容易出错。
2如果希望将四位LED的动态扫描译码驱动电路改成八位LED的,应该怎样修改设计?
答:将控制电路改成用两片74LS74相联实现三个D触发器级联,接收到振荡脉冲后,产生000—111的信号,然后将译码驱动电路接受控制电路输出的信号,同时将自身的八个输出信号分别连接到8片
LED的共阴极控制LED显示器的工作状态. 数据选择器改为由四片74LS151构成,其功能为八选一数据选择器,调节4片151的D0到D7的高低电平,将会使LED分别显示0到9不同数字。
其余部分保持不变。