使用D触发器设计一个11001序列检测器

合集下载

11001序列检测器设计实训报告

11001序列检测器设计实训报告

电子技术设计实训报告指导教师:**小组成员:*201458244**201458244**201458244**201458244*成绩评定:教师签名:评定时间设计1.题目:“11001”序列检测器。

原始条件:逻辑器件:CMOS门电路集成芯片:74SL20 74LS00 74LS1122.要求完成设计的主要任务:1)能够运用数字逻辑的理论和方法,把时序逻辑电路设计和组合逻辑电路设计相结合,设计一个有实际应用的数字逻辑电路。

2)使用同步时序逻辑电路的设计方法,设计“11001”序列检测器。

写出设计中的过程。

画出课程设计图。

3)在试验设备上,使用74SL20 74LS00 74LS112集成电路连接、调试和测试“11001”序列检测器电路。

3.小组成员分工*设计序列*电子版实验报告,化简卡诺图*:画电路图、仿真电路图*:检查设计失误、物理机电路连线电路分析设计过程4.逻辑抽象:初始状态SS:11:11S2:110S3S:11004:11001S5根据任务书要求,设计的序列检测器有一个外部输入A 和一个外部输出 Y。

输入和输出的逻辑关系为:正常情况下Y=0,出现A=11001时,Y=15.状态转换图6.状态转换表表1由表1可知,S1和S5是等价状态,故可以合并。

下图为化简后的状态转换图。

''0101*1Q Q Q AQ Q +=7. 卡若图化简规定电路状态编码,电路需要5个状态。

需要3(2n-1<状态数≦2n )个触发器。

现取Q 2Q 1Q 0=001表示S 1,Q 2Q 1Q 0=010表示S 2,Q 2Q 1Q 0=011表示S 3,Q 2Q 1Q 0=100表示S 4 ,即可得到:S 0:000 S 1:001 S 2:010 S 3 :011 S 4:100由上述转换表可以转化为卡诺图下面即可分解卡诺图⎪⎩⎪⎨⎧++=+=0111*01010*1)''(''Q AQ AQ Q A Q Q Q Q AQ Q '''201201*2Q Q Q A Q Q Q A Q +=''''011001*0Q Q A Q AQ Q AQ Q ++=''0101*1Q Q Q AQ Q +='''201201*2Q Q Q A Q Q Q A Q +=''''011001*0Q Q A Q AQ Q AQ Q ++=2AQ Y =8. 逻辑函数式由上述的卡诺图得到状态方程和输出方程⎪⎩⎪⎨⎧2AQ Y =化简得到上式与JK 触发器的特性方程对照比较可以得出(Q *=JQ ’+K ’Q ))'()'(.....'''1001012.1101012AQ K Q K Q Q A K AQ Q A J AQ J Q Q A J ===⎪⎩⎪⎨⎧+=== 2AQ Y =9.JK 触发器具体实现电路图由上述的式子我们可以画出模拟电路图(完整电路图附页说明)10. 仿真软件仿真效果(截图说明)下面进行仿真实验,X3灯是为了显示0、1输入,X2灯是显示脉冲输入情况。

使用D触发器设计一个11001序列检测器

使用D触发器设计一个11001序列检测器

讨论使用D触发器设计一个11001序列检测器,讨论序列可交迭(Overlap)检测和不可交迭检测在设计上的区别,讨论分别采用Mealy机设计和采用Moore机设计的区别,讨论未用状态的处理问题。

【要求】给出电路原理图或HDL代码,要求进行仿真,并给出仿真结果。

1.原件介绍D触发器(74LS74)、“与”门(74LS08)、“或”门(74LS32)、“非”门(74LS04),集成电路引脚2.设计思路根据要求,设计的序列检测器有一个外部输入x 和一个外部输出Z 。

输入和输出的逻辑关系为:当外部输入x 第一个为"1",外部输出Z 为"0";当外部输入x 第二个为"1",外部输出Z 为"0";当外部输入第三个x 为"0",外部输出Z 为"0",当外部输入第四个x 为“0”,外部输出Z 为0,当外部输入第五个x 为“1”,输入X 0 1 1 1 0 0 1 0 1 输出Y 0 0 0 0 0 0 1 0 0要判别序列检测器是否连续接收了"11001",电路必须用不同的状态记载外部输入x 的值。

假设电路的初始状态为A ,x 输入第一个"1",检测器状态由A 装换到B ,用状态B 记载检测器接受了"11001"序列的第一个"1",这时外部输出Z=0;x 输入第二个"1",检测器状态由B 装换到C ,用状态C 记载检测器接了“11001”序列的第二个"1",外部输出Z=0;x 输入第三个"0",检测器状态由C 装换到D ,外部输出Z=0;x 输入第四个为“0”,检测器状态由D 装换到E ,外部输出Z=0;x 输入第五个为“1”,检测器状态由E 装换到F ,外部输出Z=1。

数字逻辑课程设计——111序列检测器

数字逻辑课程设计——111序列检测器

课程设计课程名称数字逻辑设计题目“1 1 1”序列检测器专业计算机科学与技术专业班级0706姓名孙禹指导教师巩晶2009 年06 月28 日课程设计任务书学生姓名孙禹学生专业班级计算机 0706指导教师巩晶学院名称计算机科学与技术学院一、题目:“1 1 1”序列检测器。

原始条件:使用D触发器( 74 LS 74 )、“与”门( 74 LS 08 )、“或”门( 74 LS 32 )、非门( 74 LS 04 ),设计“1 1 1”序列检测器。

二、要求完成设计的主要任务如下:1.能够运用数字逻辑的理论和方法,把时序逻辑电路设计和组合逻辑电路设计相结合,设计一个有实际应用的数字逻辑电路。

2.使用同步时序逻辑电路的设计方法,设计“1 1 1”序列检测器。

写出设计中的5个过程。

画出课程设计图。

3.根据74 LS 74、74 LS 08、74 LS 32、74 LS 04集成电路引脚号,在设计好的“1 1 1”序列检测器电路图中标上引脚号。

4.在试验设备上,使用74 LS 74、74 LS 08、74 LS 32、74 LS 04集成电路连接、调试和测试“1 1 1”序列检测器电路。

指导教师签名:2009 年6 月29 日系主任(责任教师)签名:2009 年月日一、实验目的:1、深入了解与掌握同步时序逻辑电路的设计过程;2、了解74LS74、74LS08、74LS32及74LS04芯片的功能;3、能够根据电路图连接好实物图,并实现其功能。

学会设计过程中的检验与完善。

二、实验内容描述:题目:“1 1 1”序列检测器。

原始条件:使用D触发器( 74 LS 74 )、“与”门( 74 LS 08 )、“或”门( 74 LS 32 )、非门( 74 LS 04 ),设计“1 1 1”序列检测器。

集成电路引脚图:D触发器( 74 LS 74 ) “与”门( 74 LS 08 )“或”门( 74 LS 32 ) 非门( 74 LS 04 )三、实验设计过程:第1步,画出原始状态图和状态表。

11100111的序列检测器

11100111的序列检测器

《电子设计基础》课程报告设计题目:序列检测器学生班级:电气1101学生学号:20115122学生姓名:代涛指导教师:刘春梅时间:2013/6/28一、 设计任务用D 触发器设计一个11100111的序列检测器。

二、设计要求用D 触发器设计序列检测器。

三、 设计内容1、设计思想由课程设计的题目可知,该电路输入为序列号,所以还需一个序列发生器,输出为脉冲信号,最后安一个LED 灯来检测脉冲。

由于输入序列号为8位的,所以需要3个D 触发器。

2、设计说明该电路的元器件主要由D 触发器,与、非、或门,电源,LED 灯组成。

输入的序列号经D 触发器和门电路组成的电路输出为脉冲信号,当输入的序列号为11100111就会产生高电平,使LED 灯亮。

3、系统方案由于方便,我令A=000,B=001,C=010,D=011,E=100,F=101,G=110,H=111。

X 为输入信号,Y 为输出信号。

0/01/1状态转换图从表上可以看出状态D和I是等价状态,可以合并。

所以可以将I一行去n+1根据真值表得到输入的表达式,下面用卡诺图进行化简。

D 2 Q 1 XQ 0 Q 200 01 11 10 00011110D 2=X —Q 2Q —1Q —0+X —Q —2Q 1Q 0+XQ 2Q —1Q 0+XQ 2Q 1Q —D 1 Q 1 XQ 0 Q 2 00 01 11 100001 1110D 1=X(Q 1+Q 0)D 0Q 1 X Q 0 Q 2D0=X(Q1+Q—0)+Q2Q—1Q—0Y=XQ1Q2Q34、设计方案(1)、序列发生器为了仿真能成功,我设计了一个序列发生器。

序列信号是把一组0、1数码按一定规则顺序排列的串行信号,该序列发生器能发出11100111的序列号,为了测试我连了个LED灯,当出现高电平时灯亮。

序列发生器发出的序列波形仿真(2)、序列检测器序列检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。

时序电路设计-101序列检测器

时序电路设计-101序列检测器

数字逻辑设计及应用课程设计报告*名:**学号:*************选课号:设计题号: 5一.设计题目:设计101序列检测器二.设计要求要求使用状态机设计一个序列检测器,检测输入数据,当输入中出现101时,输出1,否则输出为0。

不进行重复判断,即当出现10101…时,输出为00100…判断的具体流程如下:1.电路复位,进入状态S0,等待输入2.S0状态下:如果输入为0,则停留在S0,如果输入为1,则跳转到S13.S1状态下:如果输入为0,则跳转到S2,如果输入为1,则停留在S14.S2状态下:如果输入为1,则输出1,并跳转到S0,如果输入为0,则输出0,并跳转到S0检测器电路实现:时钟信号,1 bit输入待判断信号,1bit输出判断结果。

三.设计过程1.设计方案:通过使用ISE编写verilog语言,实现以下过程:1s3只有当s3接收到的输入信号为1的时候,输出才会为1,其余时候输出都为0.2.设计程序:模块文件:`timescale 10ns/1ns//////////////////////////////////////////////////////////////////////////////////// Company:// Engineer://// Create Date: 21:02:40 06/06/2012// Design Name:// Module Name: abcd// Project Name:// Target Devices:// Tool versions:// Description://// Dependencies://// Revision:// Revision 0.01 - File Created// Additional Comments://////////////////////////////////////////////////////////////////////////////////// module abcd(input reset,input clk,input ipt,output reg result);parameter [1:0] s0 = 2'b00, s1 = 2'b01, s2 = 2'b10;reg[1:0] state;always @ (posedge clk)beginif(reset)beginstate<=s0;result<=0;endelsebegincase(state)s0:beginif(ipt==0)beginstate<=s0;result<=0;endelsebeginstate<=s1;result<=0;endends1:beginif(ipt==0)beginstate<=s2;result<=0;endelsebeginstate<=s1;result<=0;endends2:beginif(ipt==0)beginstate<=s0;result<=0;endelsebeginstate<=s0;result<=1;endenddefault:beginstate<=s0;result<=0;endendcaseendendendmodule测试文件:`timescale 10ns / 1ps//////////////////////////////////////////////////////////////////////////////// // Company:// Engineer://// Create Date: 23:05:50 06/06/2012// Design Name: test_detector// Module Name: D:/TDDOWNLOAD/fortwo2/haha.v// Project Name: fortwo2// Target Device:// Tool versions:// Description://// Verilog Test Fixture created by ISE for module: test_detector //// Dependencies://// Revision:// Revision 0.01 - File Created// Additional Comments:////////////////////////////////////////////////////////////////////////////////// module haha;module test_detector();reg clk;reg reset;reg ipt;wire result;reg[20:0] indata=20'b101001110011011110110;integer i;initial clk=1;always #1 clk=~clk;initialbeginreset=1;ipt=0;#4reset=0;for(i=0;i<21;i=i+1)beginipt=indata[i];#2;end#10$stop;endabcd detector_instance(.clk(clk),.reset(reset),.ipt(ipt),.result(result));endmodule3.仿真结果四.设计结论(包括:设计结果分析、设计中遇到的问题、设计心得和体会等) 1.设计结果分析:以时钟信号的一个周期为基准,有仿真结果可看出,当输入(ipt)为连续的一个周期高一个周期的低一个周期的高(即101)时,输出(result)为高。

数字逻辑模拟试卷附答案

数字逻辑模拟试卷附答案

XX 大 学 试 题课程名称 数字逻辑电路设计 开课学院使用班级 考试日期苏 大 学 试题 第3 页苏大 学 试题第4 页四、根据下图波形写出其逻辑关系表达式Z=F(A,B,C) (10分)A B C Z五、分析题:某同步时序逻辑电路如图所示。

(12分)(1) 写出该电路激励函数和输出函数; (2) 画出输出矩阵和激励矩阵; (3) 画出状态表和状态图;(4)设各触发器的初态均为0,试画出下图中Q1、Q2和Z 的输出波形。

数字逻辑模拟试卷2答案一、填空题(每空1分,计20分) 1、(45)6=(35)8=(11101)2=(00101001)84212、若X= 138/512,则[X]反=(0.01000101),[-X]补=(1.10111011)。

3、若[X]补=101100,则X=(-100100),[X/2]补=(110110)。

4、若X=10100110,[X]Gray 码=(11110101)。

5、用n 位补码(含一位符号位)表示定点整数,其表示的数值范围是(-2n-1~2n-1-1)6、VHDL 程序一般由(实体)和结构体两部分组成,其中结构体的基本描述方法有(数据流描述法)、行为描述法和结构描述法。

7、信息码1010对应的奇校验汉明码的长度是(7位)。

8、函数F= A+BC 的反函数是()(C B A )。

9、集成芯片的集成度是以(等效门电路的数量)来衡量的。

10、三态门的三种输出状态是高电平、低电平和(高阻状态)。

11、正负逻辑的约定中,正逻辑是指(高电平表示1;低电平表示0)。

12、触发器的触发方式有直接电平触发、电平触发和(脉冲触发)、(边沿触发)几种。

13、对组合逻辑电路而言,PLD 的理论依据是(任何组合逻辑函数都可以用与-或式表示)。

14、ISP 指的是(在系统可编程技术)二、选择题(每题有一个或多个正确答案,每题1分计10分)1、A2、D3、B ,C4、A ,D5、A ,B ,D6、A ,B ,C7、A ,B ,C8、B9、B ,D 10、C 三、按要求化简下列函数(14分)1.用代数法求函数 F = A B + A B C + B C 的最简“与-或”表达式。

《数字电路与数字逻辑》练习题

《数字电路与数字逻辑》练习题

《数字电路与数字逻辑》练习题《数字电路与数字逻辑》练习题一一、填空1.将下列二进制数转为十进制数(1001011)B =()D (11.011)B =()D2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码(+122)=()真值=()原码=()反码=()补码3.把下列4个不同数制的数(376.125)D 、(110000)B 、(17A)H 、(67)O (按从大到小的次序排列()>()>()>()。

将下列各式变换成最简与或式的形式=+B AB ()=+AB A ()=++BC C A AB ()4.将下列二进制数转为十进制数(101000)B =()D (11.0101)B =()D5.将下列十进制数转为二进制数,八进制数和十六进制数(0.8125)=()B =()O =()H(254.25)=()B =()O =()H6.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码(+125)=()真值=()原码=()反码=()补码(—42)=()真值=()原码=()反码=()补码7.逻辑函数 C A CD AB F ++=的对偶函数 F '是__________________________;其反函数F 是_________________________。

8.当j i ≠时,同一逻辑函数的最小项=?j i m m _________;两个最大项=+j i M M ___________。

9.(43.5)10=(_________)2=(_________)16。

10.n个输入端的二进制译码器,共有_________个输出端,对于每一组输入代码,将有_________个输出端具有有效电平。

11.将下列二进制数转为十进制数(1010001)B=()D(11.101)B=()D12.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码(+254.25)=()真值=()原码=()反码=()补码13.把下列4个不同数制的数(76.125)D、(27A)H、(10110)B、(67)O按从大到小的次序排列()>()>()>()。

111序列检测器

111序列检测器

“111”序列检测器1设计内容题目:“1 1 1”序列检测器。

原始条件:使用D触发器( 74 LS 74 )、“与”门 ( 74 LS 08 )、“或”门( 74 LS 32 )、非门( 74 LS 04 ),设计“1 1 1”序列检测器。

集成电路引脚图:图12设计要求1.能够运用数字逻辑的理论和方法,把时序逻辑电路设计和组合逻辑电路设计相结合,设计一个有实际应用的数字逻辑电路。

2.使用同步时序逻辑电路的设计方法,设计“1 1 1”序列检测器。

写出设计中的5个过程。

画出课程设计图。

3.根据74 LS 74、74 LS 08、74 LS 32、74 LS 04集成电路引脚号,在设计好的“1 1 1”序列检测器电路图中标上引脚号。

4.在试验设备上,使用74 LS 74、74 LS 08、74 LS 32、74 LS 04集成电路连接、调试和测试“1 1 1”序列检测器电路。

3设计原理及过程3.1建立原始状态图和状态表设计的序列检测器的功能是检测外部输入二进制代码中的“111”序列。

该同步时序逻辑电路有一个外部输入x和一个外部输出Z。

在作原始状态图时,一旦外部输入序列输入一个“1”,就要把它记载下来,因为这个“1”可能是“111”序列的第一个“1”。

紧接着下一个输入是否为“1”,因为“11”是外部输入“111”序列的前二位。

其后如果再输入一个“1”,外部输出就为“1”。

所以电路必须记住外部输入二进制代码中的“1”、“11”、“111”这3种输入情况。

每一种输入情况应该用一个状态记录下来。

根据要求,假设外部输入x的序列和相应的外部输出Z如下:外部输入x:0 1 1 0 1 1 1 0 0 0 1 1 1 1 0 1外部输出Z:0 0 0 0 0 0 1 0 0 0 0 0 1 1 0 0在建立原始状态图和状态表之前,并不知道实现上述逻辑功能的同步时序逻辑电路有多少个状态,可以指定某个状态为初始状态,在这里用A、B表示电路的不同状态。

1010序列检测器

1010序列检测器

题目:作1010序列检测器的状态图。

已知输入输出序列为:
输入:001010010101010110
输出:000001000010101000
实验步骤:
第一步:进行逻辑抽象,得出原始状态图和状态转换表。

该电路为Mealy型时序电路,设输入为x,输出为z,其输入和输出序列如下:
输入x:001010010101010110
输出z:000001000010101000
设定初始状态0000为S0,0001态为S1.,0010为S2,0101为S3。

状态转换图和原始状态表分别如下:
第二步:状态化简
经检查得到这些状态已是最简,无需化简。

第三步:状态编码。

用两位二进制代码来表示,设状态变量为Q2,Q1,根据状态编码原则可以确定:
(1)S0,S2相邻。

S1,S3相邻
(2)S0,S1相邻。

S1,S2相邻
(3)S1应取0编码
最后得到:S1=00 ,S2=11 ,S3=01,S0=10的四个状态,其编码后的状态转换图和转换表分别如下:
1/1
第四步:选定触发器。

选用D触发器,由上图可以画出Z函数的卡诺图和各触发器状态Q2n+1和Q1n+1函数的卡诺图如下:
由D触发器的特性方程得到:
Q2Q1n
Z=X n
Q2n+1=D2=X
Q2+XQ2n Q1n
Q1n+1=D1=X n
第五步:画出其相应逻辑图如下:
第六步:判断是否自启动
因为本题没有无效状态,所以可以自启动。

EDA设计实验_序列检测器-

EDA设计实验_序列检测器-

实验题目:设计串行数据检测器实验说明:设计一个“1101”串行数据检测器。

使得但输出序列中出现“1001”时,结果中就输出1。

输入/输出如下所示:输入x:000 101 110 011 011 101 101 110 101输出z:000 000 000 010 010 000 001 000 000实验分析:初始状态设为s0,此时检测数据序列为“0000”,当再检测到一个0时,仍为s0,当检测到1时,进入下一个状态s1,此时序列为“0001”;当在状态s1检测到0时,进入到状态s2,此时序列为“0010”,当检测到1时,仍为s1;当在状态s2检测到0时,进入到状态s3,此时序列为“0100”,当检测到1时,进入s1;当在状态s3检测到0时,进入s0,当检测到1时,进入状态s4,此时序列为“1001”,结果输出为1;当在s4检测到0时,进入状态s2,当检测到1时,进入状态s1。

状态图如下:实验代码:module sjjcq10_3(x,z,clk,reset,state);input x,clk,reset;output z;output[2:0]state;reg[2:0]state;reg z;parameter s0='d0,s1='d1,s2='d2,s3='d3,s4='d4;always@(posedge clk)beginif(reset)begin state<=s0;z<=0;endelsecasex(state)s0: beginif(x==0) begin state<=s0;z<=0;endelse begin state<=s1;z<=0;endends1: beginif(x==0) begin state<=s2;z<=0;endelse begin state<=s1;z<=0;endends2:beginif(x==0) begin state<=s3;z<=0;endelse begin state<=s1;z<=0;endends3:beginif(x==0) begin state<=s0;z<=0;endelse begin state<=s4;z<=1;endends4:beginif(x==0) begin state<=s2;z<=0;endelse begin state<=s1;z<=0;endenddefault: state<=s0;endcaseendendmodule实验仿真波形:分析:每当到达状态四即s4,此时检测序列为“1101”,输出即为1.。

序列检测器

序列检测器

6—26试用D触发器设计一个序列检测器,该检测器有一串行输入X,一个输出Z,当检测到0100111时输出为1.输入和输出的关系也可用下式表示:输入X:010001001111000输出Z:000000000010000解答过程:VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xu_lie isport(clk:in std_logic;x:in std_logic;z:out std_logic);end xu_lie;architecture one of xu_lie issignal s:std_logic_vector(6 downto 0);beginprocess(clk)beginif clk'event and clk='1' thens<=s(5 downto 0)&x;if s="0100111" thenz<='1';elsez<='0';end if;end if;end process;end one;仿真结果:结果分析:本题目要求为序列0100111序列检测,当检测到0100111时,需要输出1。

D触发器为时钟上升沿触发,需要中间信号s记录送进的四位二进制数,当下一时钟到来时,要将送进来的一个新二进制与之前的四位二进制数比较,如果是0100111,则需要输出1。

从仿真结果来看,输入X,当上升沿到来时,检测到以满足0100111后,下一个上升沿到来后即输出1,仿真结果正确。

6—25设计一个序列信号发生器,该序列信号发生器产生的序列信号为0100111。

解答过程:VHDL代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xu_lie_event isport(clk : in std_logic;r : in std_logic;q : out std_logic);end xu_lie_event;architecture one of xu_lie_event istype s_type is (S0,S1,S2,S3,S4,S5,S6);signal state : s_type;beginP1 : process(clk,r)beginif r='0' thenstate <= S0;elsif clk'event and clk = '1' thenif state <= S0 thenstate <= S1;elsif state <= S1 thenstate <= S2;elsif state <= S2 thenstate <= S3;elsif state <= S3 thenstate <= S4;elsif state <= S4 thenstate <= S5;elsif state <= S5 thenstate <= S6;elsif state <= S6 thenstate <= S0;elsestate <= S0;end if;end if;end process P1;P2 : process(clk,r)beginif r='0' thenq <= '0';elsif clk'event and clk='1' thencase state iswhen S0=>q <= '0';when S1=>q <= '1';when S2=>q <= '0';when S3=>q <= '0';when S4=>q <= '1';when S5=>q <= '1';when S6=>q <= '1';when others=>NULL;end case;end if;end process P2;end one;仿真结果:结果分析:上升沿触发,r为使能信号,当r=1正常工作。

1101序列检测器

1101序列检测器
#10 clk1 = ~clk1;
#10 datein = $random;
join
Endmodule
/**********仿真波形***************/
Mealy型
/*********1101序列检测器代码*******/module mealy1101(reset ,clk ,date_in ,date_out);
st3: state <= date_in?st1:st0;
// st4: state <= date_in?st2:st0;
endcase
end
assign date_out = (date_in& state[0] & state[1]);
endmodule
/***********测试程序*********
1101序列检测器实验报告
实验名称
1101序列检测器设计
实验时间
实验地点
实验人
姓名
合作者
学号
实验小组
第组
实验性质
口验证性 口设计性 口综合性 口应用性
实验成绩:
评阅教师签名:
Moore型
/*********1101序列检测器代码*******/
module moore1101(reset ,clk ,date_in ,date_out);
input reset,clk,date_in;
output date_out;
re = 2'b00,st1 = 2'b01,st2 = 2'b10,st3 = 2'b11;
always@(negedgeclk or posedge reset)begin

使用D触发器设计一个11001序列检测器概要

使用D触发器设计一个11001序列检测器概要

讨论使用D触发器设计一个11001序列检测器,讨论序列可交迭(Overlap)检测和不可交迭检测在设计上的区别,讨论分别采用Mealy机设计和采用Moore机设计的区别,讨论未用状态的处理问题。

【要求】给出电路原理图或HDL代码,要求进行仿真,并给出仿真结果。

1.原件介绍D触发器(74LS74)、“与”门(74LS08)、“或”门(74LS32)、“非”门(74LS04),集成电路引脚2.设计思路根据要求,设计的序列检测器有一个外部输入x和一个外部输出Z。

输入和输出的逻辑关系为:当外部输入x第一个为"1",外部输出Z为"0";当外部输入x第二个为"1",外部输出Z为"0";当外部输入第三个x为"0",外部输出Z为"0",当外部输入第四个x为“0”,外部输出Z为0,当外部输入第五个x为“1”,外部输出Z为“1”。

假定有一个外部输入x序列以及外部输出Z为:输入X 0 1 1 1 0 0 1 0 1输出Y 0 0 0 0 0 0 1 0 0要判别序列检测器是否连续接收了"11001",电路必须用不同的状态记载外部输入x的值。

假设电路的初始状态为A,x 输入第一个"1",检测器状态由A装换到B,用状态B记载检测器接受了"11001"序列的第一个"1",这时外部输出Z=0;x输入第二个"1",检测器状态由B装换到C,用状态C 记载检测器接了“11001”序列的第二个"1",外部输出Z=0;x输入第三个"0",检测器状态由C装换到D,外部输出Z=0;x输入第四个为“0”,检测器状态由D装换到E,外部输出Z=0;x输入第五个为“1”,检测器状态由E装换到F,外部输出Z=1。

1100110011检测

1100110011检测

《电子设计基础》课程报告设计题目:用的D触发器设计序列检测器学生班级:电气0903学生学号:20095690学生姓名:刘星指导教师:刘泾时间:2011、6、17西南科技大学信息工程学院一.设计题目及要求1.用D触发器设计一个1100110011的序列检测器。

二.题目分析与方案选择二进制序列信号检测器是一种能够检测输入的一串二进制编码,当该二进制码与事先设定的码一致时,检测电路输出高电平,否则输出低电平。

用D触发器一般有两种设计方法,一种方法就是同步时序电路的设计方法,一般来说,同步时序逻辑电路的设计包含一下几个步骤:a、根据逻辑功能要求,建立原始状态转换表或状态图b、状态化简c、状态分布d、选定触发器的类型并确定状态方程、驱动方程和输出方程e、画出逻辑图f、检测设计的电路能否自启动另一种方法就是将触发器接成移位寄存器进行设计。

对于像1100110011这样的长的序列,选择移位寄存器进行设计较简单。

设计原理框图如图1所示。

图1 设计框图1、计数电路:此电路用74ls161设计成的10为同步置数电路。

输入端A、B、C、D接地表示0000,输出端QA、QB、QC、QD输出为1001时,其中QA、QB 通过一个与非门反馈回置数端LD,RD=LD=EP=ET=1时,74161处于计数状态,于是此计数器就将循环得十位计数。

其具体电路图如图2所示。

图2 计数电路图2、数据选择电路此电路是由两片74ls151组成的十位数据选择器,第一片的输入端D0、D1、D4、D5接高电平D2、D3、D6、D7接地,第二片D0、D1接高电平。

当第一片的地址端为000时,D0输出;地址端为001时,D1输出;地址端为010时,D2端输出;地址端为011时,D3端输出;以此类推当地址端为111时,D7端输出。

当第二片的地址端为000时,第二片的D0输出;当第二片地址端为001时,第二片的D1输出。

其电路图如图3所示。

74LS151D图3 数据选择电路3、序列产生两片选择器的输出端经过一个或门输出,计数器的输出端输出循环的0000——1001。

《数字电路与数字逻辑》练习题

《数字电路与数字逻辑》练习题

《数字电路与数字逻辑》练习题一一、填空1.将下列二进制数转为十进制数(1001011)B =()D (11.011)B =()D2.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码(+122)=()真值=()原码=()反码=()补码3.把下列4个不同数制的数(376.125)D 、(110000)B 、(17A)H 、(67)O (按从大到小的次序排列()>()>()>()。

将下列各式变换成最简与或式的形式=+B AB ()=+AB A ()=++BC C A AB ()4.将下列二进制数转为十进制数(101000)B =()D (11.0101)B =()D5.将下列十进制数转为二进制数,八进制数和十六进制数(0.8125)=()B =()O =()H(254.25)=()B =()O =()H6.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码 (+125)=()真值=()原码=()反码=()补码(—42)=()真值=()原码=()反码=()补码7.逻辑函数C A CD AB F ++=的对偶函数F '是__________________________;其反函数F 是_________________________。

8.当j i ≠时,同一逻辑函数的最小项=⋅j i m m _________;两个最大项=+j i M M ___________。

9.(43.5)10=(_________)2=(_________)16。

10.n个输入端的二进制译码器,共有_________个输出端,对于每一组输入代码,将有_________个输出端具有有效电平。

11.将下列二进制数转为十进制数(1010001)B=()D(11.101)B=()D12.将下列有符号的十进制数转换成相应的二进制数真值、原码、反码和补码(+254.25)=()真值=()原码=()反码=()补码13.把下列4个不同数制的数(76.125)D、(27A)H、(10110)B、(67)O按从大到小的次序排列()>()>()>()。

1011序列检测器与发生器

1011序列检测器与发生器

时序逻辑电路课程设计——1011序列信号发生器及检测器的实现作者:***学号:*************学院:自动化工程学院指导老师:***(一)摘要本次课程设计的任务是设计具有发生和检测“1011”数字序列的逻辑功能的数字电路。

根据设计要求,可以采用不同的方案(不同芯片,不同电路)来实现“1011”数字序列发生器和检测器。

我们主要通过芯片和逻辑门搭建满足要求的逻辑电路,并对其进行仿真。

(二)关键字1011,发生器,检测器,循环输出,74X163, 74X151, 74X194(三) 引言序列信号发生器和序列信号检测器在数字电路中占有举足轻重的地位,在很多重要或非重要的场合都有应用。

所谓序列信号是把一组0、1数码按一定规则顺序排列的串行信号,可以做同步信号、地址码、数据等,也可以做控制信号。

序列信号发生器就是产生一系列特定的信号的数字电路;序列信号检测器是指能够对一组或多组特定的序列信号进行检测的数字电路。

(四)设计过程<1>设计思想A. 对于序列信号发生器部分,可以利用同步计数器+数据选择器很方便地实现周期序列发生器。

具体说来,可将计数器的输出作为数字选择器的地址选择码,数据选择器的数据输入端接要选择的地址码,数据选择器的输出端即为要产生的串行周期序列。

B. 对于序列信号检测器部分,要做到实现对1011序列的检测,应当实现对1,10,101等状态的记忆,当输入1011时输出1表示检测成功。

此过程可以通过移位寄存器配合组合电路实现。

<2>设计框图及详细说明A.序列信号发生器部分:利用同步计数器74×163和数据选择器74×151设计具体实现过程为:将QA、QB端通过与非门连接到CLR清零端,实现同步计数器74×163循环计数00、01、10、11的目的,再将这些数送到数据选择器74×151的地址选择端的低二位,使数据选择器依次循环输出D0、D1、D2、D3上的信号,同时预置D0=1、D1=0、D2=1、D3=1,这样就实现了循环产生1011序列信号的逻辑功能。

课程设计——001序列检测器

课程设计——001序列检测器

学 号:课 程 设 计题 目 数字逻辑 设计题目 001序列检测器 学 院 计算机科学与技术 专 业 计算机科学与技术班 级 姓 名 指导教师2011 年 03 月 06 日目录1、课程设计任务书··························P32、正文····································P42.1、使用的芯片及其引脚图···············P42.2、逻辑实验电路的具体分析与设计·······P42.3、具体实验操作及调试·················P102.4、小结及体会·························P122.5、参考文献···························P123、评分表··································P13课程设计任务书学生姓名学生专业班级指导教师学院名称计算机科学与技术学院一、题目:“001”序列检测器。

时序电路设计-101序列检测器

时序电路设计-101序列检测器

数字逻辑设计及应用课程设计报告姓名:雷蕾学号:2010012030036选课号:设计题号: 5一.设计题目:设计101序列检测器二.设计要求要求使用状态机设计一个序列检测器,检测输入数据,当输入中出现101时,输出1,否则输出为0。

不进行重复判断,即当出现10101…时,输出为00100…判断的具体流程如下:1.电路复位,进入状态S0,等待输入2.S0状态下:如果输入为0,则停留在S0,如果输入为1,则跳转到S13.S1状态下:如果输入为0,则跳转到S2,如果输入为1,则停留在S14.S2状态下:如果输入为1,则输出1,并跳转到S0,如果输入为0,则输出0,并跳转到S0检测器电路实现:时钟信号,1 bit输入待判断信号,1bit输出判断结果。

三.设计过程1.设计方案:通过使用ISE编写verilog语言,实现以下过程:1s3只有当s3接收到的输入信号为1的时候,输出才会为1,其余时候输出都为0.2.设计程序:模块文件:`timescale 10ns/1ns//////////////////////////////////////////////////////////////////////////////////// Company:// Engineer://// Create Date: 21:02:40 06/06/2012// Design Name:// Module Name: abcd// Project Name:// Target Devices:// Tool versions:// Description://// Dependencies://// Revision:// Revision 0.01 - File Created// Additional Comments://////////////////////////////////////////////////////////////////////////////////// module abcd(input reset,input clk,input ipt,output reg result);parameter [1:0] s0 = 2'b00, s1 = 2'b01, s2 = 2'b10;reg[1:0] state;always @ (posedge clk)beginif(reset)beginstate<=s0;result<=0;endelsebegincase(state)s0:beginif(ipt==0)beginstate<=s0;result<=0;endelse.beginstate<=s1;result<=0;endends1:beginif(ipt==0)beginstate<=s2;result<=0;endelsebeginstate<=s1;result<=0;endends2:beginif(ipt==0)beginstate<=s0;result<=0;endelsebeginstate<=s0;result<=1;endenddefault:beginstate<=s0;result<=0;endendcaseendendendmodule测试文件:`timescale 10ns / 1ps////////////////////////////////////////////////////////////////////////////// //// Company:// Engineer://// Create Date: 23:05:50 06/06/2012// Design Name: test_detector// Module Name: D:/TDDOWNLOAD/fortwo2/haha.v// Project Name: fortwo2// Target Device:// Tool versions:// Description://// Verilog Test Fixture created by ISE for module: test_detector//// Dependencies://// Revision:// Revision 0.01 - File Created// Additional Comments://////////////////////////////////////////////////////////////////////////////// //module haha;module test_detector();reg clk;reg reset;reg ipt;wire result;reg[20:0] indata=20'b101001110011011110110;integer i;initial clk=1;always #1 clk=~clk;initialbeginreset=1;ipt=0;#4reset=0;for(i=0;i<21;i=i+1)beginipt=indata[i];#2;end#10$stop;endabcd detector_instance(.clk(clk),.reset(reset),.ipt(ipt),.result(result));endmodule3.仿真结果四.设计结论(包括:设计结果分析、设计中遇到的问题、设计心得和体会等) 1.设计结果分析:以时钟信号的一个周期为基准,有仿真结果可看出,当输入(ipt)为连续的一个周期高一个周期的低一个周期的高(即101)时,输出(result)为高。

数字逻辑试卷

数字逻辑试卷

数字逻辑试卷数字逻辑试题1 (闭卷)⼀、填空:(每空1分,共20分) 1、(20.57)8 =()16 2、(63.25) 10= ( )2 3、(FF )16= ( )104、[X]原=1.1101,真值X= __________,[X]补 = ___________。

5、[X]反=0.1111,[X]补= _____________。

6、-9/16的补码为_________________,反码为_______________。

7、已知葛莱码为1000,⼆进制码为___________________,已知⼗进制数为92,其余三码为___________________。

8、时序逻辑电路的输出不仅取决于当时的________,还取决于电路的 ________ 。

9、逻辑代数的基本运算有三种,它们是________ 、________ 、_________ 。

10、1⊕⊕=B A F ,其最⼩项之和形式为______________________。

11、RS 触发器的状态⽅程为________________,约束条件为______________。

12、已知AB B A F +=1、B A B A F +=2,则两式之间的逻辑关系为________________。

13、触发器的CP 时钟端不连接在⼀起的时序逻辑电路称之为_______步时序逻辑电路。

⼆、简答题(20分)1、列出设计同步时序逻辑电路的步骤。

(5分)2、化简)(B A B A ABC B A F +++= (5分)3、分析以下电路,其中RCO 为进位输出。

(5分)4、下图为PLD 电路,在正确的位置添 * ,设计出B A F ⊕=函数。

(5分)三、分析题(30分)1、分析以下电路,说明电路功能。

(10分)2、分析以下电路,其中X为控制端,说明电路功能。

(10分)3、分析以下电路,说明电路功能。

(10分)四、设计题(30分)1、设计⼀个带控制端的组合逻辑电路,控制端X=0时,实现BAF⊕=,控制端X=1时,实现ABF=,⽤与⾮门及反相器实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档